幻灯片 1

Size: px
Start display at page:

Download "幻灯片 1"

Transcription

1 TI C2000 系列 DSP FLASH 烧写解决方案 Rev. A

2 综述 TI C2000 系列 DSP 从硬件构架和开发环境上可以划分为 F28x F240x F240 与 F206 几类 而 Flash 的烧写问题一直是开发过程中, 编程人员比较关注的问题 本文从最后出现的 F28x 的 Flash 烧写方法开始, 依次介绍这三种 DSP 的 Flash 烧写的方法和步骤 首先是详细介绍 F28 系列的烧写工具和方法 由于 C2000 系列 DSP 的烧写方法大同小异所以简要描述 F240 与 F206 的烧写方法, 并归纳和总结 F240x 烧写的一些经验 最后一部分以 F2812 为例介绍用户自定义的嵌入式 Flash 编程 (API)

3 第一部分 : TMS320F28x Flash 烧写指南

4 第一章 :F28x FLASH 特点和应用 这部分主要讲述以下几方面内容 : F28x Flash 的特点 F28x Flash 的存储器映像 F28x Flash 的电源模式 F28x Flash 的访问 F28x Flash 流水线 F28x Flash 的寄存器

5 F28x Flash 的特点 F2812 片内 FLASH 128K, 地址为 3D8000h-3F7FFFh F2810 片内 FLASH 64K, 地址为 3E8000h-3F7FFFh 即可映像到程序空间, 也可映像到数据空间 采用分区操作可单独擦写某一个区段 可以通过单独的 可改善性能的 Flash 流水线进行操作 基于 CPU 频率可进行调整的等待周期 低功耗模式 受代码安全模块 CSM 的保护

6 F28x Flash 的存储器映像 地址范围 0x3D8000-0x3D9FFF 0x3DA000-0x3DBFFF 0x3DC000-0x3DFFFF 0x3E0000-0x3E3FFF 0x3E4000-0x3E7FFF 0x3E8000-0x3EBFFF 0x3EC000-0x3EFFFF 0x3F0000-0x3F3FFF 0x3F4000-0x3F5FFF 0x3F6000-0x3F7FF6-0x3F7FF7 段 J,8K*16 段 I,8K*16 段 H,16K*16 段 G,16K*16 段 F,16K*16 段 E,16K*16 段 D,16K*16 段 C,16K*16 段 B,8K*16 程序和数据空间 段 A,8K*16 boot 到 Flash 的入口处 ( 此处有程序分支 ) 0x3D8000-0x3D9FFF 安全密码 (128 位 ) F2812 存储器区段地址

7 F28x Flash 的存储器映像 地址范围 0x3E8000-0x3EBFFF 0x3EC000-0x3EFFFF 0x3F0000-0x3F3FFF 0x3F4000-0x3F5FFF 0x3F6000-0x3F7FF6-0x3F7FF7 段 E,16K*16 段 D,16K*16 段 C,16K*16 段 B,8K*16 程序和数据空间 段 A,8K*16 boot 到 Flash 的入口处 ( 此处有程序分支 ) 0x3D8000-0x3D9FFF 安全密码 (128 位 ) F2810 存储器区段地址

8 F280x 与 F281x 在 Flash 上的差别 F280x 与 F281x 在 Flash 的结构和烧写的方法上是完全相同不同点如下 : 从容量来说是依次减小的, 同时段的长度也是不断变化 F2808: 64K X 16 Flash F2806: 32K X 16 Flash F2801: 16K X 16 Flash API 的算法和配置是不同的, 并且相互之间不兼容性 其他相关内容请参照相对应的用户手册, 本文以 F281x 为主

9 F28x Flash 的电源模式 复位和休眠状态 复位状态 最低功耗 待机状态 CPU 读和取指访问都将暂停 CPU 激活或读状态 最大功耗变为低功耗 : 改变 PWR 模式位变为高功耗 : 改变 FPWR 寄存器通过读访问或程序取指操作

10 F28x Flash 的访问 CPU 对 FLASH 的读或取指操作有如下形式 : 32 位取指指令 16 位或 32 位数据空间读操作 16 位程序空间读操作存储器访问类型 : Flash 存储器随机访问 Flash 存储器页访问 NOTES: 对存储器应先取得写操作被忽略保护后读操作是正常的周期但返回 0 支持零等待访问但 CPU 需要适应访问时间

11 F28x Flash 流水线模式 Flash 一般用于保护用户代码 为了改善代码执行性能, 采用了 Flash 流水线模式 通过 FOPT 寄存器中的 ENPIPE 位控制流水线模式使能 该模式独立于 CPU 流水线 该模式下使用了预取机能, 减少了 Flash 对整个代码执行过程的影响 改善了 FLASH 代码执行效率 15 0 保留 R-0 ENPIPE 位 0 ENPIPE 使能流水线 / 流水线使能时等待状态必须大于 0

12 F28x Flash 寄存器 1. Flash 选择寄存器 FOPT 2. Flash 电源寄存器 FPWR 3. Flash 状态寄存器 FSTATUS 4. Flash 待机等待寄存器 FSTDBYWAIT 5. Flash 待机到激活等待计数器寄存器 FACTIVEWAIT 6. Flash 等待状态寄存器 FBANKWAIT 以上寄存器的设置, 已经包含在相应的 FLASH 烧写工具中 不在此详细介绍, 可以通过 TI 的相关文档和 CCS 中包含的用户手册进行查询 FLASH 的烧写过程不包括这些寄存器的设置, 对参数设置的过程实际上就是通过 TI 或第三方软件对寄存器的设置

13 第二章 : F28x Flash 烧写基础 本部分主要讲述以下几方面内容 : Flash 烧写的硬件条件 Flash 算法相关描述 Flash 的烧写步骤 - 擦写操作 - 编程操作

14 F28x Flash 烧写的硬件条件 具备什么样的硬件条件才可以进行烧写 Flash 操作 Flash 必须是完好的 Flash 有可能因为意外导致毁坏, 比如在擦写过程中断电或死机 此时虽然 DSP 程序可以在 RAM 中运行但是除非更换 DSP, 否则无法再进行 Flash 烧写 CSM 必须是可用的 您必须是设备的合法使用者 Flash 受 CSM 的保护 Flash 的烧写必须处于非安全模式下 (CSM unlock) VDD3VFL 管脚必须可靠接到 3.3V 电源上 Flash 的编程和读出都需要这个电源, 必须被连接 Notes: 当该电源未连接时, 口线输出也有可能是 3.3V 而且某些 TI 评估板上在此处放置了跳线或未焊接的电阻封装 因此必须通过相应测试切实保证这个电压正常

15 F28x Flash 执行算法的一般要求 F281x 的设备编程以后是通过时间边缘算法 (time-critical algorithms) 在 DSP 上执行的 这个算法包含一个时间边缘的延时环 这个算法必须在单周期的 SARAM 中执行 必须根据 DSP 的时钟频率来设置这个算法 为了保证程序可以正确执行, 应该在系统最快的时 钟频率上执行这个算法 这个算法绝对不能使用中断 这个算法是 FLASH 编程的基本构架算法, 它可以通过相应的插件 工具或自行编写的 API 程序实现 以上是 Flash 烧写的基本要求

16 F28x Flash 编程算法的操作 Flash 烧写的操作包括如下两个基本步骤 : 擦写 擦写算法的执行结果通常是将 FLASH 的一个段中的所有的位都置成 1 这个擦写算法包含以下 3 个步骤 : 1. 擦除 : 将一个段中所有的位都置 0 2. 擦写 : 将一个段中所有的位都置 1 3. 效验 : 纠正所有耗尽的位, 保证所有位都变成 1 编程 编程算法的执行结果是将应用代码或数据中的特定位改写成 0

17 F28x Flash 的擦写操作 Flash 擦写操作的相关描述 Flash 的出厂设置是已经擦写过的状态 也就是所有 Flash 段中的位都是 1 这个擦写算法是将一个段中所有的位都置 1 一次可以擦写的最小内存单元是一个段 擦写操作只适用于 Flash,OTP 不能进行擦写

18 F28x Flash 的编程操作 Flash 擦写操作的相关描述 编程操作使 Flash 中某些特定的位变成 0 编程操作不能使某一个位由 0 变成 1 编程操作可以对 FLASH 和 OTP 进行操作 编程操作每次操作是针对 16 位中的一位进行

19 F28x Flash 烧写方法概述 TI 及其第三方提供的烧写软件主要有两种 : 一个是 TI 提供的 TMS320C2000 Code Composer Studio on-chip Flash programmer plug-in 其最新版本是 发行日期是 , 可以从 TI 网站上下载或者向 SEED 索取 ( 另一个工具是 Spectrum Digital 公司提供的 SDFlash 这个软件在 TI 的 CCS 插件推出之前比较受欢迎, 但是有了上面更方便的插件以后因为使用的限制用得比较少 其最新版本是 1.63 包含在 CCS2.21 以上的版本中, 当然也可以单独下载升级包进行安装 可以从其官方网站或者向 SEED 索取 ( 接下来的两章分别介绍这两种工具的使用

20 第三章 : F28x Flash CCS 插件 Code Composer Studio Plug-in 的特点 : 完美的整合到 CCS 中, 并且提供大量的 TI 在线帮助 开发特定的 CCS Flash 设备并且提供了多样的设定 不需要关闭 CCS 和开关工具即可实现 Flash 烧写和设置 支持 CCS2.2 及以上版本

21 F28x CCS 插件的功能框图

22 F28x CCS 插件的安装 1. 安装 CCS C2000V 安装 2.2 to 2.21 补丁 C FULL-to- C FULL 3. 安装 C SA-to-TI-FLASH2x 到 CCS 目录 4. 设置好 CCS, 打开 CCS 系统自动提示发现插件点击确认使插件生效

23 F28x CCS 插件的调用 1. 点击 tools F28xx On Chip Flash Programmer 2. 点击插件图标

24 F28x CCS 插件的界面

25 F28x CCS 插件的 API 设置 图中所示的 API 为经过确认的版本, 而不是 beta 版如果没有这个版本, 则说明下载的插件版本不够新可能无法正常烧写 F281x

26 F28x CCS 插件的频率设定

27 F28x CCS 插件频率配置的测试 NOTE:ToggleTest 不会自动停止必须通过用户手动停止 ( 后面 API 的相关部分在使用时也存在同样的问题 )

28 F28x CCS 插件的烧写步骤 现在描述一下如何通过 CCS 插件完成一个程序的烧写 Step 1 : 选择一个工程 这个工程应该是调试通过的, 并且可以在 CCS 调试状态下正常运行 Step 2 : 对这个工程的 CMD 文件进行增加 Flash 的内存分配的内容 最好同时编制两份 CMD 文件 : 一份给烧写 Flash 用, 一份仿真和试验使用 Step 3: 需要时对工程的文件进行修改, 增加 Flash API 程序搬移等文件 以扩展程序的有效运行和应用

29 F28x CCS 插件烧写步骤 Step 4 : 编译这个文件以生成插件可识别的 COFF 文件 Step 5 : 打开 CCS 插件, 设置时钟和相关内容 Step 6 : 配置插件的 API Step 6 : 测试时钟和工作频率 Step 7 : 选择需要擦写的段 Step 8 : 选择擦写 编程 效验的集合操作 Step 9 : 选择执行操作等待插件自动执行烧写过程设置硬件跳线 GPIOF4_SCITXDA 为高 ( 硬件已设置好 ), 则上电后, 复位矢量直接跳转到 flash 处执行至此一个使用 Flash 的烧写全过程就执行完了, 在去掉仿真器的情况下上电程序就可以自动执行了

30 F28x CCS 插件烧写的一些经验 1. 在擦写的过程中意外或异端终止有可能造成 Flash 烧毁 2. 通常的非破坏性的错误操作不会影响到 CSM, 但是要注意 SCM 也在 Flash, 不要将此段地址烧入内容 3. 不要试图将 Flash 所有位置 0, 这样 CSM 将永远是安全的也就是说该芯片不能再调试和编程 4. 通常 Flash 毁坏 DSP 依然可以进行不牵扯 Flash 的仿真和调试 5. CCS 插件有时候会出现死机 但并不意味着 Flash 已经毁坏通过再次或不同环境下的烧写有可能挽救和恢复 6. 绝对不可以在烧写过程中断电 7. 烧写过程中不要试图运行程序 8. 对 Flash 自由等待和页等待的设置可以提高 Flash 执行速度, 理论最大 120Mhz

31 关于 F28x CCS 插件 除了上述问题外 CCS 插件因为软硬件 bug 还可能出现一些意料不到的问题 以下列举了一些常见的不正常状况和相应的解决方法 1. 上电初始 CCS 处于运行状态烧写时出现错误提示不能正常进行 --Flash 本身已经有程序, 需停止后再进行烧写 2. 烧写过程中程序死在擦除状态, 关掉 CCS 插件再开状况依旧 -- 硬件重新上电并重启 CCS, 同时移除所有断点 3. 在没有非法操作时出现 Flash 不能正常 Unlock -- 通常需要在其他系统中重新烧写一次 Flash 后, 插件恢复正常 4. 烧写过程中出错并且无法正常进行 -- 按上述 1-3 步骤进行, 同时需要检查硬件电压和软件版本支持 5. 烧写完成但是程序运行错误或者过度缓慢 -- 需要关注相应的设置和系统是否处于正常状态 必要时有可能需要重新安装 CCS 和插件

32 第四章 SDflash SDFlash 烧写工具的特点 : SDFlash 是 Spectrum Digital 公司推出的 Flash 编程接口 SDFlash 不依赖于 CCS 可以独立的运行 只需要连接设备的 JTAG 仿真器上电就可以进行 JTAG 编程 不支持 USB 仿真器 工程的组织形式与 CCS 略有不同 适合于大批量芯片的烧写

33 SDFlash 的功能框图

34 SDflash 工程 SDFlash 工程由文本文件用储存用户的擦写和编程设置 通过 SDFlash GUI 界面可以观看和编辑 SDFlash 工程 在 TI 的例程中包含了算法文件在自建工程时可以借用

35 SDflash 设置 - 目标

36 SDflash 设置 - 擦写

37 SDflash 设置 - 编程

38 SDflash 频率配置 在 SDFlash28x_Wrapper.h 中进行 PLLCR 的相关设定 通过修改 Flash281x_API_Config.h 进行 CPU 频率的设定 重建算法文件 关闭 CCS 运行频率触发测试来检验 CPU 频率设置 CCS 中的 SDFlash JTAG 算法工程

39 SDflash 频率配置 2

40 SDflash 的操作步骤 1. 打开工程 / 新建工程 新建工程时算法文件和各项设定参考 TI 提供的例程, 一步一步的建立 2. Programming Setting 参照前几页的叙述将擦写 编程 效验各个参数设置好 3. 点击 Device Flash 4. 选择所需操作 5. 点击 Start 由此可见在参数都设置好的情况下, 当有大量芯片需要烧写时不必每次都开关 CCS 这也是 SDFlash 的一大优势 但是在程序调试过程中还是推荐通过插件来进行

41 SDflash 的一些经验 在设置时最好参照 TI 的例程 烧写 28 时为了免除麻烦最好直接下载最新的版本 当 CPU 不能复位时, 可以先使用 SDConfig 来进行复位 新建工程中推荐套用 TI 例程的框架, 这样快速又不容易出现错误 出现问题及时参看其说明和帮助, 其中大部分的异常情况的解决方法都写得很清楚 此软件的灵活度不高, 参数操作又比较繁琐 所以一般来说还是推荐由 TI 的插件来进行操作, 要方便许多 必要时配合 CCS 使用

42 关于 28x Flash 的执行 1) 选用合适的 CMD 文件, 分配 flash 和其他存储器, 可以参照 DSP281x F2812.cmd 2) 确保在 0x3F7FF6 处有指令跳转到代码执行的开始可以参考 DSP281x_CodeStartBranch.asm 来实现 3) 如果要 flash 有最好的性能, 需要设置 flash 存储器的等待周期该设置程序需要 copy 到 ram 中运行, 可以用 DSP281x_MemCopy.c 来实现 ( 参考如下内容 ) #include "DSP281x_Device.h" void MemCopy(Uint16 *SourceAddr, Uint16* SourceEndAddr, Uint16* DestAddr) {while(sourceaddr < SourceEndAddr) {*DestAddr++ =*SourceAddr++; } return;} Notes:Flash 体系强制限制一次只执行一个操作, 也就是说在擦写编程过程中无法在 Flash 中执行程序或读取 所以在使用 API 并且将程序写到 Flash 中时这一步是必须的

43 关于 28x Flash 烧写的补充说明 2812 烧写补充说明 : 算法不但不能在 Flash 运行而且不能在外部存储器 XINXF 中运行 (SPRA958) SDFlash 和 CCS 不要在同一时间内执行 (GUI SDFlash readme) CCS 插件执行过程中应移除所有断点 Flash Memory Programming Setup(TIweb) 中有更详细的设计和操作描述 对于 280x 和 281x 的不同请参照 (TMS320x281x to TMS320x280x Migration Overview) 对于 2810 API 程序只能擦写 A-E 段注意不要过界使用

44 第二部分 : TMS320F240x/240/206 Flash 烧写指南

45 C2000 Flash 烧写综述 对于 C2000 系列其他 DSP 的 Flash 烧写的问题主要也是通过 SDFlash 和 CCS 插件来实现基本方法是一致的不再累述, 本部分主要描述一下他们的差别 以下连接对 C2000 系列的 DSP Flash 编程工具的下载页面 ateid=5121&path=templatedata/cm/toolswovw/data/tools_flash 烧写过程中应该下载相对应的软件和驱动 下图列出了 TI 及其第三方推荐和提供支持的 C2000 系列全部烧写工具

46 C2000 Flash 烧写综述 C2000 Flash 烧写基本上都可以通过 CCS 插件进行也推荐这种做法,F24x 的编写需要在 windows 下的 DOS 窗中进行 使用 SDFlash 的烧写不再累述, 需要时注意看相应的 Readme 有几点需要注意 : a. 必须为 MC 方式 ; b.f206 的工作频率必须为 20MHz; c.f240 需要根据 PLL 修改 C240_CFG.I 文件 建议外部时钟为 20MHz d.lf240x 也可能需要根据 PLL 修改文件, 工作频率为 30MHz e. 如果编写有问题, 可以用 BFLWx.BAT 修复 TI 还提供了一种串口编写方式 :TI 的网页上有相关软件 注意此法只能编写一次, 因为编写程序会破坏串口通信程序 API 方式 : 请查阅 TI 的网页上有相关资料

47 F206/240 Flash 烧写 TMS320F206 片内有 32KX16BIT 的 FLASH, 从 0X000H~0X003F 为中断向量区 当 MP/MC 引脚等于 0 时, 片内 FLASH 使能, 当 MP/MC 引脚等于 1 时, 影射到扩展程序空间 F206 复位后从 0X0000H 开始执行程序 烧写步骤 : 1 设置 MP/MC=0, 使能片内 FLASH 连好仿真器 2 安装 FALSH2XX 烧写软件 3 开启 CCS 4 使用 File-> Load Program 载入需要烧写的文件 5 使用 File->Data->Save 存储刚载入的程序数据到主机文件中 (*.dat\*.out) 指定文件名后,CCS 提示输入数据的起始地址, 数据长度, 内存页类型 设置完成后确认 数据将存储到您指定的目录与文件名中 注意 : 内存页类型请选择 Program

48 F206/240 Flash 烧写 6 使用 File->Load GEL 加载 GEL 文件 ( 如 : 烧写 F240 的程序, 就加载 C:\flash2xx\flash240\ fprogram.gel 文件到系统中 加载成功后在 CCS 系统菜单中的 GEL 下面出现 Flash 编程及子项 FlashClear,FlashErase, Write2Flash 7 顺序使用 GEL->Flash 编程 -> FlashClear, GEL->Flash 编程 - >FlashErase 对 Flash 进行擦除 FlashClear 后 Flash 中所有数据为 0,FlashErase 后 Flash 中所有数据为 1 8 使用 GEL->Flash 编程 -> Write2Flash, 系统提示输入要烧写的数据文件 数据长度 此处输入的烧写数据文件是第三步存储的数据文件及其数据长度, 输入文件名时请务必使用此格式 :( 假设数据文件 sample.dat 存在 C:\flash2xx\flash240 中其格式为 C:\\flash2xx\\flash240\\sample.dat 引号必须 ) 9 数据输入完成后点击 Execute 按钮 烧写 Flash 工作完成

49 F240x 烧写说明 F2407 的烧写与 F28x 基本相同这里仅将注意事项列出 : 1 关于 FLASH 时钟的选择, 此烧写工具默认最高频率进行 FLASH 的操作 根据目标系统的工作主频重新要进行 PLL 设置 方法 : 先在 advance options 下面的 View Config file 中修改倍频 存盘后在相应的目录下 (tic2xx\algos\ 相应目录 ) 运行 buildall.bat 就可以完成修改了 再进行相应的操作即可 2 若是你所选的频率不是最高频率, 还需要设定你自已的 timings.xx 来代替系统默认的最高频率的 timings.xx 例如 LF2407a 的默认文件是 timings.40 Timings.xx 可以利用 include\timings.xls 的 excel 工作表来生成 然后在 advance options 下面的 View Config file 中修改相应的位置 存盘后, 在相应的目录下运行 buildall.bat 就可以完成修改了

50 F240x 烧写说明 3 对于 TMS320LF240XA 系列, 还要注意 : 由于这些 DSP 的 FLASH 具有加密功能, 加密地址为程序空间的 0x40-0X43H, 程序禁止写入此空间, 如果写了, 此空间的数据被认为是加密位, 断电后进入保护 FLASH 状态, 使 FLASH 不可重新操作, 从而使 DSP 报废, 烧写完毕后一定要进行 Program passwords 的操作, 如果不做加密操作就默认最后一次写入加密位的数据作为密码 A 不能用 DOS 下的烧写软件烧写, 必须用 CCS 插件

51 F240x 烧写说明 5 一些相关建议如下 : 1) 一般调试时, 在 RAM 中进行 ; 2) 程序烧写时, 避开程序空间 0x40-0x43H 加密区, 程序最好小于 32k; 3) 每次程序烧写完后, 将 word0,word1,word2,word3 分别输入自己的密码, 再点击 Program password, 如果加密成功, 提示 Program is arrayed, 如果 0x40-0x43h 中写入的是 ffff, 认为处于调试状态,flash 不会加密 ; 4) 断电后, 下次重新烧写时需要往 word0~word3 输入已设的密码, 再 unlock, 成功后可以重新烧写了 ; 6 VCPP 管脚接在 +5V 上, 是应直接接的, 中间不要加电阻 7 具体事宜请阅读相应目录下的 readme 和帮助文件 8 cmd 文件的编写时应该避开 40-43H 单元, 好多客户由于没有注意到这里而把 FALSH 加密

52 第三部分 : TMS320F281x Flash API 应用指南

53 Flash API 本部分主要介绍如何在自己的程序中嵌入 Flash 编程 通过 TI 提供的 Flash API( 应用程序接口 ) 可以定义和进行更复杂 自由的 Flash 操作 Flash API 可以使用在 CCS 插件和 SDflash 中 Flash API 是进行更自由的 Flash 操作 / 编程的解决方案 Flash API 嵌入方式灵活易用 本部分以 F2812 为例进行讲解其他芯片请参照相应手册进行

54 Flash API 实现方法 方式 A: 调试时用和仿真一致程序在 RAM 中算法直接在 RAM 中 方式 B: 代码通过通讯口引导来实现在 RAM 中运行不推荐使用 方式 C: 程序在 Flash 中需要通过程序拷贝到 RAM 中运行, 此方式不方便调试应用于最终产品

55 Flash API 库 Flash API library 包含了 TI 提供支持的 Flash 编程算法并且提供了良好的接口和易于使用的定义, 使开发更方便快捷

56 F28x Flash API 函数调用 擦写指定段 : Uint16 Flash2812_Erase(SectorMask, &FStatus) SectorMask: 要擦写哪个段 &Fstatus: 编程代码和数据到 Flash/OTP: 指向 Flash 状态结构的指针 Uint16 Flash2812_Program(&FlashAddr, &BuffAddr, Length, &FStatus) &FlashAddr: 指向要编程的 Flash/OTP 首地址的指针 &BuffAddr: 指向要编程的 Flash/OTP 缓冲器的指针 Length: &Fstatus: 要编程的 16 位的字的数目 指向 Flash 状态结构的指针

57 F28x Flash API 函数调用 2 效验正确的算法频率配置 : Uint16 Flash2812_ToggleTest (&MuxReg, ToggleReg, Mask) &MuxReg: 指向一个 GP I/O MUX 寄存器的指针 &ToggleReg: 指向一个 GP I/O 触发寄存器的指针 Mask: Flash/OTP 的效验值 : 指示哪个管脚被触发 Uint16 Flash2812_Verify(&FlashAddr, &BuffAddr, Length, &FStatus) &FlashAddr: 指向 Flash/OTP 的第一个地址 &BuffAddr: Length: &FStatus: 指向反向比较缓冲器的指针 比较的 16 位字的数目 指向 Flash 状态结构的指针

58 Flash API 状态结构

59 Flash API 的运作 为了增加植入的 flash 编程, 必须进行如下几步操作 : 1. 增加 Flash API 库到工程 2. 添加 Flash API 头文件到源代码中 3. 根据 CPU 频率初始化 PLLCR 和配置 Flash 算法 4. 进行触发测试 ( 如果测试未通过不要擦写或编程, 可能导致 Flash 毁坏 此测试无法自动停止故仅限于开发阶段使用 测试的结果为 10KHz 左右 对于首次设置测试是必须的 ) 5. 单周期 SARAM 执行 Flash API 源代码 6. 另外还应注意 CSM 的配置 (SPRU078, 只能在 Flash 使能的情况下控制和进行解锁操作 )

60 Flash API Stap1: 添加库

61 Flash API Stap2: 添加头文件 添加 Flash API 的头文件到项目中这个头文件包括 : 函数原形状态结构定义 API 出错代码 API 配置文件

62 Stap3: 根据操作频率配置 API 根据系统的 CPU 操作频率来进行 API 的配置 通过修改 Flash281x_Config.h 来实现 其中 CPU_RATE 是一个用来为正确的 CPU 频率配置算法比例因子不要进行修改

63 Stap3: 根据操作频率配置 API 在程序中增加如下代码, 来初始化全局变量 Flash_CPUScale_Factor 的值 这个值通常是循环的比例因子 初始化 PLLCR 寄存器并且等待, 直到锁相环稳定

64 Stap4: 拷贝 API 到 SARAM 如果 Flash API 源程序是保存在 Flash/OTP 中, 那么必须在调用 API 之前将其拷贝到 SARAM 中才可以正常运行 这是需要在 linker.cmd 文件中为 API 的源程序分配装载开始, 装载结束和运行开始地址的相应符号

65 Stap4: 拷贝 API 到 SARAM 通过这些符号将源程序从 Flash 的装载地址复制到 SARAM 中运行

66 API 应用 为了更好的使用 API CSM 应处于解锁状态或者在安全的 SARAM 运行 也可以扩展相关概念到其他通讯端口创造解决方案 关于应用 API 的相关建议 1. 在单循环内存执行算法而不要在等待状态内存中执行 2. 根据 CPU 频率配置 API 并且在系统最快的 CPU 频率下执行算法 3. 每次配置完毕效验频率配置 4. 在擦写和编程过程中不要执行代码或读取 Flash/OTP 5. API 只能通过大内存模式编译并且只能在 28x 目标代码方式下使用 (OBJMODE = 1, AMODE = 1) 6. API 在执行中禁用全局中断和看门狗

67 总结 本文通过三个部分从原理到应用介绍了 TI C2000 系列 Flash 烧写的相关知识先从 F28x Flash 的配置和定义开始然后介绍了 Flash 烧写的过程和相关知识详细描述了两种 Flash 烧写工具的使用 --CCS 插件是应用和调试最方便的 --SDFlash 不需要 CCS, 适合大规模生产之后扩展性的介绍了 C2000 系列其他 DSP 的烧写再后介绍了嵌入式烧写和应用 Flash 的方法 --Flash API 的相关内容以便用户能编写属于自己的解决方案本文仅仅是宽泛的介绍希望读者能将您的问题 意见和经验提供给我们, 我们将不胜感激

68 测试控制 对于 SEED 公司现行的仿真器的测试如下 : CCS 插件 SD Flash Flash API SEED-XDSPP SEED-XDSusb2.0 - SEED-XDSPCI - SEED-XDS560 - SDFlash 相应的驱动较少只支持可以通过 SDConfig 复位的仿真器

69 REVISION HISTORY Ver A

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机,

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, LINUX 操作系统 三 实验内容 1. 学习 x-loader 作用和编译过程 2. 学习 uboot 作用和编译过程 3. 学习 Boot Loader 的操作 四 Bootloader 程序说明

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi 纠正点从 2014 年 5 月 12 日的版本开始 我们缺少的 4TB 硬盘的型号 : WJ-ND400 / 和 WJ-HD616K / WJ-716K / WJ-ND400 WJ-HD616K WJ-HD716K 4TB 红 40PURX-64GVNY0 AF OK 4TB 红 40EFRX-68WT0N0 AF OK 纠正点 1 2014 年 87 月 259 日 K-HW508K / HW516K

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 ->

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 -> 目录 1 大概思路... 1 2 创建 WebAPI... 1 3 创建 CrossMainController 并编写... 1 4 Nuget 安装 microsoft.aspnet.webapi.cors... 4 5 跨域设置路由... 4 6 编写 Jquery EasyUI 界面... 5 7 运行效果... 7 8 总结... 7 1 1 大概思路 创建 WebAPI 创建 CrossMainController

More information

Microsoft Word - AN3259C

Microsoft Word - AN3259C www.maxim-ic.com.cn 应用笔记 3259 DS31256 Envoy - 寄存器转储列程 概述本应用笔记提供了将 DS31256 的寄存器 排队程序 描述符和 FIFO RAM 的内容转储到一个文件的程序代码 这些数据在 DS31256 无法正常工作时非常关键, 为进一步的研究和调试提供了重要信息 例如, 寄存器数据经过转储后可以显示每个 DS31256 寄存器的设置 为了保证正确地设置器件,

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

打开 debug 选项 ( 修改根目录下 config.mk 文件,DBGFLAGS=-g -DDEBUG), 重新编译 uboot 并下载到 K2E 上运行, 观察串口的输出, 如果时钟配置正确的话, 应能在串口看到类似打印, 则时钟配置正确, 准备进入下一步调试 DDR3 如果输出乱码, 则需要

打开 debug 选项 ( 修改根目录下 config.mk 文件,DBGFLAGS=-g -DDEBUG), 重新编译 uboot 并下载到 K2E 上运行, 观察串口的输出, 如果时钟配置正确的话, 应能在串口看到类似打印, 则时钟配置正确, 准备进入下一步调试 DDR3 如果输出乱码, 则需要 1. 下载 Linaro 交叉编译工具链以及 uboot 源代码, 建立编译环境, 然后根据使用的芯 片编译对应的版本, 以下内容以 K2E 为例 a. 参考 http://processors.wiki.ti.com/index.php/mcsdk_ug_chapter_exploring 3.2.2.2 节 b. uboot:git://git.ti.com/keystone-linux/u-boot.git

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5 注意请使用 5T 或 6T 的硬盘架 (WJ-ND400/ WJ-HDE400/WJ-HD716/WJ-HD616) 请不要在 5TB 或 6TB 硬盘底部安 2 颗螺丝 ( 下方标记 ), 因为螺丝要长于螺旋孔的深度 顶视图 底视图 1 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 500G Seagate Pipeline HD2 ST3500312CS

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

教育部高等学校教学

教育部高等学校教学 i 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 2007 2008 2009 13 2007 50 98 6 38 1 13 8 1 2 20 8 3 1000 2010 1000 13 13 1 20 80 1984 25 8 21 2 1 1 26 1 5 1 3 2 1987 4.5 2 9.5 13.5 3 1 2 1990 9 3 22

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP   2 2 FH-RFSS321-I (TD-SCDMA ) TD-SCDMA / MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP http://www.beijing-wri.com 2 2 1...7 1.1...7 1.2...7 1.3...7 1.4...8 1.5...9 1.6...10 1.7...10 1.8

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 odps-sdk 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基 开放数据处理服务 ODPS SDK SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基础功能的主体接口, 搜索关键词 "odpssdk-core" 一些

More information

七天基于风险测试—Chinatest.ppt

七天基于风险测试—Chinatest.ppt / @ at Testart PPT ?! Risk = Damage*Probability Damage Probability ? . 1. 1. 4. 1. Web- GIS PC 7 ? ? : ? - - - 0.1 0.1 X bug UI 10 Requirement SpecificaCon IteraCon Develop

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

第一章.FIT)

第一章.FIT) 第 一 章 美 丽 触 手 可 及 一 些 天 生 好 动 的 懒 人 袁 根 本 静 不 下 心 去 美 容 院 做 护 理 袁 通 常 总 是 用 一 些 最 野 懒 冶 的 方 法 来 保 养 自 己 遥 比 如 下 飞 机 以 后 感 觉 头 发 很 乱 袁 就 用 手 当 梳 子 随 手 梳 两 下 曰 脸 上 很 干 袁 就 往 脸 上 涂 些 酸 奶 尧 牛 奶 或 者 蜂 蜜 噎 噎

More information

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析 最 有 利 標 作 業 程 序 實 務 分 析 交 通 部 採 購 稽 核 小 組 陳 秘 書 牧 民 日 期 :101 年 05 月 21 日 大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0 SDChain-Matrix 节点搭建指南 目录 1 环境要求... 3 2 软件下载... 4 3 安装部署... 4 3.1 部署可执行程序目录... 4 3.2 部署配置文件目录... 4 3.3 部署数据库文件目录... 4 3.4 部署日志文件目录... 4 3.5 部署依赖库文件目录... 4 4 配置参数... 5 5 启动运行... 7 5.1 普通模式启动... 7 5.2 加载启动模式...

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

1950 5 1954 1906 3000 3 1911 30 3 2000 6 10 3 33 1944 34 38 4 1950 32 1943 500 1955 8 1740 1000 1961 1 10 1956 204 28 1939 1 14 25 3 8 8 29 2 6 200 6 10 4 22 1933 60 800 10 26 27 29 38 4 9 1950 1951 1968

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple halcon 与 C# 混合编程之 Halcon 代码调用 写在前面 完成 halcon 与 C# 混合编程的环境配置后, 进行界面布局设计构思每一个按钮所需要实现 的功能, 将 Halcon 导出的代码复制至相应的 C# 模块下即可 halcon 源程序 : dev_open_window(0, 0, 512, 512, 'black', WindowHandle) read_image (Image,

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

LETD型LED灯炮规格的更改

LETD型LED灯炮规格的更改 2018 十二月 1/10 页 软件错误问题软件错误 1 Automation Organizer(WindLDR) 软件之修复 针对版本 : WindLDR 版本 8.2.0 至版本 8.9.1 (Automation Organizer 版本 3.20 至 版本 3.12.1) 注 : 包括由官网下载的版本 当使用以上版本软件, 软件错误 1 将会出现在以下型号 : FC6A CPU 模块 -

More information

汇教云用户手册

汇教云用户手册 汇 教 云 用 户 手 册 宁 波 汇 教 教 育 科 技 有 限 公 司 1 目 录 一 网 站 首 页...4 二 管 理 员 用 户...5 三 教 师 用 户...6 ( 一 ) 社 区 主 页 面...6 1. 头 像 资 料 区...7 2. 信 息 交 流 区...8 3. 便 签 管 理...15 4. 消 息 提 醒 区...15 5. 统 计 区...15 ( 二 ) 成 绩 册...16

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

IDE CRT CRT LCD LCD Uti

IDE CRT CRT LCD LCD Uti V1.0 AM-9315 WINCE WINCE AM-9315 AM-9315 : 1. E-mail http://www.seatech.sh.cn seatech@seatech.sh.cn 021-61450355/6/7/8 1618-1 - ... 1... 1 1 1.1... 4 1.2... 5 1 3... 6 1.3.1... 6 1.3.2... 7 1.3.3... 8

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

30 學 術 論 文 10 1980 3 4 二 復 旦 內 部 圍 繞 鬥 爭 目 標 的 紛 爭 1966 6 1 5 6 4 6 10 7 14 8 16 18 9 19 bk bl bm bn

30 學 術 論 文 10 1980 3 4 二 復 旦 內 部 圍 繞 鬥 爭 目 標 的 紛 爭 1966 6 1 5 6 4 6 10 7 14 8 16 18 9 19 bk bl bm bn 學 術 論 文 文 革 初 期 復 旦 大 學 的 樊 建 政 董 國 強 摘 要 :1966 年 10 月 以 後 復 旦 大 學 校 園 內 圍 繞 黑 材 料 問 題 的 公 開 衝 突, 根 源 於 6 月 以 來 復 旦 師 生 間 圍 繞 本 校 如 何 開 展 文 革 運 動 所 出 現 的 紛 爭 與 對 立 一 些 激 進 師 生 貼 出 批 評 黨 委 的 大 字 報 ; 而 校

More information

Copyright 2007 Hewlett-Packard Development Company, L.P. Microsoft 和 Windows 是 Microsoft Corporation 在 美 国 的 注 册 商 标 Bluetooth 是 其 所 有 者 拥 有 的 商 标,Hew

Copyright 2007 Hewlett-Packard Development Company, L.P. Microsoft 和 Windows 是 Microsoft Corporation 在 美 国 的 注 册 商 标 Bluetooth 是 其 所 有 者 拥 有 的 商 标,Hew 笔 记 本 计 算 机 概 览 用 户 指 南 Copyright 2007 Hewlett-Packard Development Company, L.P. Microsoft 和 Windows 是 Microsoft Corporation 在 美 国 的 注 册 商 标 Bluetooth 是 其 所 有 者 拥 有 的 商 标,Hewlett-Packard Company 按 许 可

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

ebook71-6

ebook71-6 6 X C a l d e r a X 6. 2. 1 C a l d e r a 6. 2. 2 C a l d e r a 6. 2. 3 C a l d e r a 6. 2. 4 C a l d e r a 6. 2. 5 C a l d e r a 6. 2. 6 C a l d e r a X 6. 2. 7 Red Hat X 6. 2. 8 Red Hat 6. 2. 9 Red Hat

More information

Guava学习之Resources

Guava学习之Resources Resources 提供提供操作 classpath 路径下所有资源的方法 除非另有说明, 否则类中所有方法的参数都不能为 null 虽然有些方法的参数是 URL 类型的, 但是这些方法实现通常不是以 HTTP 完成的 ; 同时这些资源也非 classpath 路径下的 下面两个函数都是根据资源的名称得到其绝对路径, 从函数里面可以看出,Resources 类中的 getresource 函数都是基于

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

( ) : ( ) (CIP) /.. :,003. () ISBN O4 44 CIP (00) : : 7 : 7007 : (09 ) : : :850 mm 68 mm / 3 :0.5 :60 :00 0

( ) : ( ) (CIP) /.. :,003. () ISBN O4 44 CIP (00) : : 7 : 7007 : (09 ) :   : :850 mm 68 mm / 3 :0.5 :60 :00 0 ( ) ( ) : ( ) (CIP) /.. :,003. () ISBN 7 56 448 0.... O4 44 CIP (00) 007344 : : 7 : 7007 : (09 )8493844 : www.nwpup.com : :850 mm 68 mm / 3 :0.5 :60 :00 003 3 :0 006 000 :3: 00 00, ( ),,,,,,,, 003 8 (

More information

64 2 1 64 = 2 1 = 18446744073709551615 2 1 192 157 / 50 = & 3.14 3927 /1250 = & 3.1416 EFHF AB = + DH CF EF CFHF BF = DH CF 2 2 2 1 2 2 a b c 2 S = [ a b ( ) ] a b c 4 2 2 n( 1 + n) 2 1 1 1 1 2

More information

高 等 职 业 教 育 : 中 国 对 世 界 教 育 的 独 特 贡 献 在 世 界 教 育 的 百 花 园 里, 有 一 朵 绽 放 的 奇 葩 : 中 国 的 高 等 职 业 教 育 这 是 以 1300 所 高 职 院 校 的 体 量, 生 存 于 中 国 的 一 种 教 育 ; 这 是 与

高 等 职 业 教 育 : 中 国 对 世 界 教 育 的 独 特 贡 献 在 世 界 教 育 的 百 花 园 里, 有 一 朵 绽 放 的 奇 葩 : 中 国 的 高 等 职 业 教 育 这 是 以 1300 所 高 职 院 校 的 体 量, 生 存 于 中 国 的 一 种 教 育 ; 这 是 与 高 教 信 息 摘 编 (2015 年 第 7 期, 总 第 91 期 ) 四 川 交 通 职 业 技 术 学 院 图 书 馆 编 高 教 要 闻 高 等 职 业 教 育 : 中 国 对 世 界 教 育 的 独 特 贡 献 教 育 评 论 中 国 何 时 有 从 容 的 大 学 高 校 与 学 生 需 要 有 温 度 的 互 动 高 教 视 点 学 术 女 神 博 士 学 位 被 撤 研 究 者 当

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

Microsoft Word - Datastream5.1_使用說明201110

Microsoft Word - Datastream5.1_使用說明201110 Datastream 5.1 操 作 手 冊 政 大 圖 書 館 商 圖 分 館 編 製 2011.10 版 權 屬 於 國 立 政 治 大 學 圖 書 館. 請 勿 侵 權 1 目 錄 前 言 -------------------------------------------------------------2 第 壹 章 Datastream advanced -----------------------------------2

More information

an153f

an153f 153 2016 1 Linduino Michael Jones (PSM) LTpowerPlay (BMC) PSM PSM PMBus PMBus SMBus SMBus I2C PSM BMC Linduino (API) PSM Linduino PSM BMC BMC Robust PMBus System Software for the LTC3880) I 2 C / SMBus

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌 九 峰 吟 草 一 一 一 一 一 一 一 一 一 ~- - - 一 一 -- ~ - ~ ~ ~ ~ ~.. ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~. ~ ~. ~ ~ ~ ~ - ~ ~ ~ ~ ~ ~ 一 r 气 户 孜 犷 杯 只 匀 风 向 方 镇 忆 漾 阳 七 律 壕 江 两 岸 好 风 光, 古 史 连 篇 四 面 藏 典 语 南 桥 添 锦 绣, 泠 东 半 塔 裕 民 康 西

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

(4) (3) (2) (1) 1 B 2 C 3 A 4 5 A A 6 7 A B 8 B 9 D 1 1 0 1 B A A 1 A 1 2 3 C 1 A 1 A 1 B 1 A 1 B 1 2 2 2 2 2 4 5 6 7 8 9 0 1 2 3 4 A A B B A A D B B C B D A B d n 1 = ( x x ) n ij ik jk k= 1 i, j

More information