ST template WORD

Size: px
Start display at page:

Download "ST template WORD"

Transcription

1 串口工作在 DMA 模式下有时接收异常 前言 客户反馈在使用 STM32F205 的串口工作在 DMA 模式时, 有时能够接收数据, 有时完全没有数据, 但如果换成中断模式来接 收又能 100% 正常收到数据 一复现现象 问题背景 与客户沟通, 客户使用的是 STM32F2 标准库 V1.1.0, 串口波特率为 1.408Mbps, 不经过串口 RS232, 直接连接主 CPU 和从 MCU(STM32F205) 的串口发送和接收引脚, 如下图所示 : Tx STM32F205 Rx CPU 尝试重现问题 图 1 由于客户使用的是主从架构, 实验采用两块 STM3220G-EVAL 评估板来重现现象 一块用来不间断发送串口数据, 另一块采 用串口 DMA 进行接收, 直接通过杜邦线连接串口 PIN 脚并共地, 不使用评估板上的 RS232 收发器 接收端使用 STM32F2xx_StdPeriph_Examples\ USART\USART_TwoBoards 的示例代码 代码片段如下 : int main(void) USART_Config(); while (1) /* Clear Buffers */ Fill_Buffer(RxBuffer, TXBUFFERSIZE); Fill_Buffer(CmdBuffer, 2); DMA_DeInit(USARTx_RX_DMA_STREAM); DMA_InitStructure.DMA_Channel = USARTx_RX_DMA_CHANNEL; DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralToMemory; /************* USART will receive the the transaction data ****************/ /* Transaction data (length defined by CmdBuffer[1] variable) */ DMA_InitStructure.DMA_Memory0BaseAddr = (uint32_t)rxbuffer; DMA_InitStructure.DMA_BufferSize =10;// (uint16_t)cmdbuffer[1];

2 DMA_InitStructure.DMA_Mode =DMA_Mode_Normal;//DMA_Mode_Circular; DMA_Init(USARTx_RX_DMA_STREAM, &DMA_InitStructure); NVIC_InitStructure.NVIC_IRQChannel = DMA1_Stream1_IRQn; NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1; NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure); /* Enable DMA Stream Transfer Complete interrupt */ DMA_ITConfig(USARTx_RX_DMA_STREAM, DMA_IT_TE DMA_IT_DME DMA_IT_FE, ENABLE); /* Enable the DMA Stream */ DMA_Cmd(USARTx_RX_DMA_STREAM, ENABLE); /* Enable the USART Rx DMA requests */ USART_DMACmd(USARTx, USART_DMAReq_Rx, ENABLE); // USART_Cmd(USARTx, ENABLE); // while(set ==USART_GetFlagStatus(USARTx,USART_FLAG_ORE)) // // Tmp =USART_ReceiveData(USARTx); // while ((DMA_GetFlagStatus(USARTx_RX_DMA_STREAM, USARTx_RX_DMA_FLAG_TCIF) == RESET) /* Clear all DMA Streams flags */ DMA_ClearFlag(USARTx_RX_DMA_STREAM, USARTx_RX_DMA_FLAG_HTIF USARTx_RX_DMA_FLAG_TCIF); /* Disable the DMA Stream */ DMA_Cmd(USARTx_RX_DMA_STREAM, DISABLE); /* Disable the USART Rx DMA requests */ USART_DMACmd(USARTx, USART_DMAReq_Rx, DISABLE); //handle the RxBuffer data // USART_Config() 函数如下 : static void USART_Config(void) USART_InitTypeDef USART_InitStructure; GPIO_InitTypeDef GPIO_InitStructure; /* Peripheral Clock Enable */ /* Enable GPIO clock */ RCC_AHB1PeriphClockCmd(USARTx_TX_GPIO_CLK USARTx_RX_GPIO_CLK, ENABLE);

3 /* Enable USART clock */ USARTx_CLK_INIT(USARTx_CLK, ENABLE); /* Enable the DMA clock */ RCC_AHB1PeriphClockCmd(USARTx_DMAx_CLK, ENABLE); /* USARTx GPIO configuration */ /* Connect USART pins to AF7 */ GPIO_PinAFConfig(USARTx_TX_GPIO_PORT, USARTx_TX_SOURCE, USARTx_TX_AF); GPIO_PinAFConfig(USARTx_RX_GPIO_PORT, USARTx_RX_SOURCE, USARTx_RX_AF); /* Configure USART Tx and Rx as alternate function push-pull */ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_100MHz; GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; GPIO_InitStructure.GPIO_Pin = USARTx_TX_PIN; GPIO_Init(USARTx_TX_GPIO_PORT, &GPIO_InitStructure); GPIO_InitStructure.GPIO_Pin = USARTx_RX_PIN; GPIO_Init(USARTx_RX_GPIO_PORT, &GPIO_InitStructure); /* USARTx configuration */ /* Enable the USART OverSampling by 8 */ USART_OverSampling8Cmd(USARTx, ENABLE); USART_InitStructure.USART_BaudRate = ;// ; USART_InitStructure.USART_WordLength = USART_WordLength_8b; USART_InitStructure.USART_StopBits = USART_StopBits_1; /* When using Parity the word length must be configured to 9 bits */ USART_InitStructure.USART_Parity = USART_Parity_No; USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None; USART_InitStructure.USART_Mode = USART_Mode_Rx USART_Mode_Tx; USART_Init(USARTx, &USART_InitStructure); /* Configure DMA controller to manage USART TX and RX DMA request */ DMA_InitStructure.DMA_PeripheralBaseAddr = USARTx_DR_ADDRESS; DMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable; DMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable; DMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_Byte; DMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Byte; DMA_InitStructure.DMA_Mode = DMA_Mode_Normal; DMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh; DMA_InitStructure.DMA_FIFOMode = DMA_FIFOMode_Enable; DMA_InitStructure.DMA_FIFOThreshold = DMA_FIFOThreshold_Full; DMA_InitStructure.DMA_MemoryBurst = DMA_MemoryBurst_Single; DMA_InitStructure.DMA_PeripheralBurst = DMA_PeripheralBurst_Single;

4 /* Here only the unchanged parameters of the DMA initialization structure are configured. During the program operation, the DMA will be configured with different parameters according to the operation phase */ /* Enable USART */ USART_Cmd(USARTx, ENABLE); 按如上代码, 有如下现象 : 1 代码不做修改, 若先启动接收端 MCU 再启动发送端 MCU, 接收端 MCU 的串口能正常接收 2 代码不做修改, 若先启动发送端 MCU 再启动接收端 MCU, 接收端 MCU 的串口 100% 接收异常 3 修改发送端代码, 改为发送端 MCU 串口每 1 秒间隔发送一次, 则无论启动顺序如何, 接收端 MCU 的串口都能正常 二程序分析 由上述代码可知, 程序是先在 USART_Config() 函数函数内初始化串口并使能, 然后再在接下来的 main 函数的 while 循环内初始化 DMA 并使能 这个是标准库内附带的示例代码, 咋一看没什么问题, 但仔细一想, 针对用户的使用场景, 这里就会产生一个问题 : 由于用户的主 CPU 有可能在从 MCU 启动之前就已经有可能启动, 那么在这种情况下, 在初始化完串口并使能后, 到 DMA 使能之前这段时间内, 若主 CPU 向从 MCU 发送串口数据, 从 MCU 是否能正确接收? 从上述测试代码的结果 2 可以得出, 若在串口初始化并使能后到 DMA 使能之前有数据来,MCU 是不能接收的, 经进一步调试, 发现此时数据寄存器 USART_DR 存在一个数据, 且在状态寄存器 USART_SR 中 ORE 值 1, 由此可知, 串口的接收寄存器中已经接收到一个数据, 但是后面的数据又来了, 由于数据寄存器中的数据没有及时转移走 ( 此时 DMA 还没有开启 ), 从而导致后面的数据无法存入, 所以产生了上溢错误 (ORE), 而一旦产生上溢错误后, 就无法再触发 DAM 请求, 及时之后再启动 DMA 也不行, 无法触发 DMA 请求就无法将数据寄存器内的数据及时转移走, 如此陷入死锁, 这就是串口无法正常接收的原因 这时反观一下代码的结果 3, 这又将做如何解释? 仔细查看测试结果 3, 发现这个发送端每 1 秒间隔发送一次, 那么就会存在这个一个概率, 这个发送的时间点是否刚好在接收端 MCU 的串口初始化并使能和 DMA 使能之间还是之后, 这个时间窗口非常关键, 如果刚好在时间窗, 那么串口接收就不正常, 如果在这个时间窗之后, 串口接收就能正常 由于测试代码采用的是 1 秒间隔, 对于 MCU 来说这个是非常大的时间长度, 还是很小概率能碰中这个时间窗的, 因此, 测试结果看起来是都能正常, 实际严格来说, 还是存在刚好碰中的可能 如果间隔时间缩短, 那个碰中的几率就增大 由此看来, 这也就能解释测试结果 3 了, 也能解释客户提到的有时正常有时不正常的现象了 三问题处理 处理有两种方法, 第一种方法是在使能 DMA 后, 及时将数据寄存器 DR 中的数据清除掉, 如下代码所示 : /* Enable the DMA Stream */ DMA_Cmd(USARTx_RX_DMA_STREAM, ENABLE); /* Enable the USART Rx DMA requests */ USART_DMACmd(USARTx, USART_DMAReq_Rx, ENABLE);

5 while(set ==USART_GetFlagStatus(USARTx,USART_FLAG_ORE)) Tmp =USART_ReceiveData(USARTx); 这里是使用读 DR 的方法来清除的, 从参考手册中也提到使用这种方法来清除 ORE 标志 : 第一种方法类似于一种纠错措施, 下面介绍另一种推荐的方法, 如下代码所示 : /* Enable the DMA Stream */ DMA_Cmd(USARTx_RX_DMA_STREAM, ENABLE); /* Enable the USART Rx DMA requests */ USART_DMACmd(USARTx, USART_DMAReq_Rx, ENABLE); USART_Cmd(USARTx, ENABLE); 如上所示, 可以先使能 DMA 再使能串口, 这样就彻底不存在那个时间窗了, 不管数据何时过来能能被 DAM 及时转走 这个 是推荐的解决方法 四结论 标准库中的示例代码一般来说只供参考, 对于大部分情况来说都是能正常工作的, 但偶尔也会出现不适用的情况, 此时更需要我们针对问题进行思考分析, 进一步找到原因才能解决问题 对于串口使用 DMA 来接收的情况, 这里建议一定要先使能 DMA, 最后使能串口, 这样就能避免类似问题出现了

6 重要通知 - 请仔细阅读 意法半导体公司及其子公司 ( ST ) 保留随时对 ST 产品和 / 或本文档进行变更 更正 增强 修改和改进的权利, 恕不另行通知 买方在订货之前应获取关于 ST 产品的最新信息 ST 产品的销售依照订单确认时的相关 ST 销售条款 买方自行负责对 ST 产品的选择和使用, ST 概不承担与应用协助或买方产品设计相关的任何责任 ST 不对任何知识产权进行任何明示或默示的授权或许可 转售的 ST 产品如有不同于此处提供的信息的规定, 将导致 ST 针对该产品授予的任何保证失效 ST 和 ST 徽标是 ST 的商标 所有其他产品或服务名称均为其各自所有者的财产 本文档中的信息取代本文档所有早期版本中提供的信息 2015 STMicroelectronics - 保留所有权利

STM32Cube_FW_F4?RTC??????BUG

STM32Cube_FW_F4?RTC??????BUG STM32Cube_FW_F4 中 RTC_Calendar 例程的 BUG 前言 实时时钟 (RTC) 是一个独立的 BCD 定时器 / 计数器, 用来提供准确的日历和时间信息 准确性是其重要的指标 问题 某客户在其产品的设计中, 使用了 STM32F429IIT6 客户在使用过程发现一个问题, 虽然已经有使用电池对 VBAT 进行供电, 但是在经常频繁的 VDD 上下电之后, 发现时钟会比准确的时间慢几秒钟

More information

STM32F3??ADC??????????ADC

STM32F3??ADC??????????ADC STM32F30x 禁止 ADC 已关闭情况下再次关闭 ADC 前言 STM32F30x 系列的 12 位 SAR ADC 有很多鲜明的特色性能, 比如采样率可以达到 5 MSPS, 可支持差分输入, 等等 但是, 由于设计的不同, 在使用上也有不少不太一样的地方, 我们在使用 STM32F30x 的 ADC 外设的时候, 还是要仔细了解一些使用的细节 问题 某客户在其产品的设计中, 使用了 STM32F302CCT6

More information

ST template WORD

ST template WORD 一种计算 CPU 使用率的方法及其实现原理 1 前言 出于性能方面的考虑, 有的时候, 我们希望知道 CPU 的使用率为多少, 进而判断此 CPU 的负载情况和对于当前运行环境是 否足够 胜任 本文将介绍一种计算 CPU 占有率的方法以及其实现原理 2 移植算法 2.1 算法简介 此算法是基于操作系统的, 理论上不限于任何操作系统, 只要有任务调度就可以 本文将以 FreeRTOST 为例来介绍本算法的使用方法

More information

ST template WORD

ST template WORD 使用 CubeMX 生成 TCPEchoServer 工程 前言 在 STM32 的众多外设中, 以太网这个外设相对而言还是比较复杂, 初始化的过程也比较复杂, 涉及到 MAC,DMA,PHY 好几块内容的初始化 可能有时候 datasheet 都看得头疼了, 可初始化还是有问题 而 STM32CubeMX 工具就可以帮我们轻松完成这部分工作 在本文中, 将分别以 STM3220G-EVAL 板为例,

More information

全方位平面定位系统

全方位平面定位系统 Action 全方位平面定位系统产品手册 OPS-9 www.neuaction.com 2018-3-1 关于本文档 版权声明 本文档版权归所有, 并保留一切权利 未经书面许可, 任何公司和个人不得将此文档中的任何部分公开 转载或以其他方式散发给第三方 艾克申为注册商标 Windows 7 Windows 8 和 Windows XP 为微软公司的注册商标 历史修订 版本号修改日期摘要撰稿人审核

More information

STM32 USART

STM32 USART 应用笔记 STM32 USART 自动波特率检测 前言 正确的 USART 通信要求发送和接收波特率的匹配度足够高, 否则可能发生通信错误 当在两个设备之间建立通信链路时, 自动波特率检测十分有用, 因为从设备能够检测到主控制器的波特率并进行相应的自我调整 这需要使用一种自动机制来确定波特率 某些 STM32 器件中内置的 USART 外设提供许多功能, 包括硬件自动波特率检测 本应用笔记旨在介绍

More information

ST template WORD

ST template WORD 从零开始使用 CubeMX 创建以太网工程 前言 在前面一篇文章中, 介绍了如何使用 CubeMX 来建立一个简单的 TCPEchoserver 工程 但是在新建 CubeMX 项目时, 是通过直接选择 ST 的开发板的方式实现的 对于大多数实际的开发场景, 可能并不是在 ST 的开发板上进行的, 所以在这篇文章中, 我将介绍如何从零开始建立一个以太网工程 今年 ST 推出的 Nucleo-144

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

856 600306 商 业 城 2016-04-26 大 华 标 准 70 万 70 万 857 600497 驰 宏 锌 锗 2016-04-26 瑞 华 标 准 140 万 150 万 858 601890 亚 星 锚 链 2016-04-26 江 苏 公 证 天 业 标 准 80 万 80

856 600306 商 业 城 2016-04-26 大 华 标 准 70 万 70 万 857 600497 驰 宏 锌 锗 2016-04-26 瑞 华 标 准 140 万 150 万 858 601890 亚 星 锚 链 2016-04-26 江 苏 公 证 天 业 标 准 80 万 80 附 表 1: 上 市 公 司 财 务 报 表 审 计 报 告 简 要 情 况 明 细 表 表 1-1 沪 市 主 板 序 号 833 603025 大 豪 科 技 2016-04-25 北 京 兴 华 标 准 39 万 1 834 603398 邦 宝 益 智 2016-04-25 大 华 标 准 50 万 2 835 603988 中 电 电 机 2016-04-25 天 健 标 准 35 万 35

More information

欢迎辞

欢迎辞 欢 迎 辞 尊 敬 的 各 参 会 代 表 : 欢 迎 您 参 加 由 中 国 上 市 公 司 协 会 中 国 证 监 会 上 市 公 司 监 管 部 主 办 的 2014 年 第 1 期 上 市 公 司 董 事 长 总 经 理 研 修 班! 本 次 培 训 得 到 了 广 大 上 市 公 司 的 高 度 关 注 与 积 极 反 馈, 报 名 人 数 远 超 预 期, 参 加 本 期 研 讨 班 的

More information

40 601007 金 陵 饭 店 2015-06-30 中 兴 华 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 天 衡 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 41 000659 *ST 中 富 2015-06-30 中 喜 已 报 备 业 务 约 定 书 到 期 普

40 601007 金 陵 饭 店 2015-06-30 中 兴 华 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 天 衡 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 41 000659 *ST 中 富 2015-06-30 中 喜 已 报 备 业 务 约 定 书 到 期 普 附 表 6-1: 上 市 公 司 2015 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2016 年 3 月 21 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 300326 凯 利 泰 2014-07-21 大 华 已 报 备 聘 期 已 满 立 信 已 报 备 客 户 业 务 发 展 需 要 2 300129 泰 胜 风 能 2014-12-30

More information

002496 辉 丰 股 份 重 大 事 项, 特 停 002553 南 方 轴 承 临 时 停 牌 002571 德 力 股 份 临 时 停 牌 300241 瑞 丰 光 电 临 时 停 牌 300269 联 建 光 电 临 时 停 牌 002656 卡 奴 迪 路 临 时 停 牌 300367

002496 辉 丰 股 份 重 大 事 项, 特 停 002553 南 方 轴 承 临 时 停 牌 002571 德 力 股 份 临 时 停 牌 300241 瑞 丰 光 电 临 时 停 牌 300269 联 建 光 电 临 时 停 牌 002656 卡 奴 迪 路 临 时 停 牌 300367 停 牌 600234 山 水 文 化 重 要 事 项 未 公 告, 下 午 002249 大 洋 电 机 重 大 事 项, 特 停 600337 美 克 家 居 重 要 事 项 未 公 告, 连 续 停 牌 002192 路 翔 股 份 实 施 退 市 风 险 警 示 公 告, 停 牌 1 天 002137 实 益 达 重 大 事 项, 特 停 000766 通 化 金 马 重 大 事 项, 特 停

More information

日 涨 幅 偏 离 值 达 到 7% 的 前 五 只 证 券 : 温 氏 股 份 ( 代 码 300498) 涨 幅 偏 离 值 :11.68% 成 交 量 :1752 万 股 成 交 金 额 : 81104 万 元 机 构 专 用 104430598.43 0.00 机 构 专 用 7049617

日 涨 幅 偏 离 值 达 到 7% 的 前 五 只 证 券 : 温 氏 股 份 ( 代 码 300498) 涨 幅 偏 离 值 :11.68% 成 交 量 :1752 万 股 成 交 金 额 : 81104 万 元 机 构 专 用 104430598.43 0.00 机 构 专 用 7049617 深 圳 证 券 市 场 创 业 板 2016 年 02 月 29 日 公 开 信 息 证 券 列 表 证 券 代 码 证 券 简 称 披 露 原 因 300023 宝 德 股 份 日 价 格 涨 幅 偏 离 值 达 到 10.31% 300100 双 林 股 份 日 价 格 涨 幅 偏 离 值 达 到 10.23% 300120 经 纬 电 材 日 价 格 振 幅 达 到 18.12% 300139

More information

上市公司股东大会投票信息公告(20110916)

上市公司股东大会投票信息公告(20110916) 上 市 公 司 股 东 大 会 投 票 信 息 公 告 (20160510) 证 券 代 码 证 券 简 称 投 票 登 记 日 会 员 投 票 日 投 票 代 码 客 户 投 票 意 见 征 集 渠 道 投 票 意 愿 征 集 截 止 日 300324 旋 极 信 息 2016-05-04 2016-05-10 365324 融 资 融 券 交 易 系 统 营 业 部 2016-05-09 002209

More information

股票代码:600732 股票简称:*ST新梅 编号:临2015-052

股票代码:600732              股票简称:*ST新梅              编号:临2015-052 股 票 代 码 :600732 股 票 简 称 :*ST 新 梅 编 号 : 临 2016-028 上 海 新 梅 置 业 股 份 有 限 公 司 关 于 回 复 上 海 证 券 交 易 所 问 询 函 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实 性

More information

34 002221 东 华 能 源 2014-10-29 江 苏 苏 亚 金 诚 已 报 备 因 地 域 及 审 计 时 间 安 排 等 原 因 中 兴 华 已 报 备 客 户 重 新 选 聘 会 计 师 事 务 所 35 002019 亿 帆 鑫 富 2014-09-30 立 信 已 报 备 客

34 002221 东 华 能 源 2014-10-29 江 苏 苏 亚 金 诚 已 报 备 因 地 域 及 审 计 时 间 安 排 等 原 因 中 兴 华 已 报 备 客 户 重 新 选 聘 会 计 师 事 务 所 35 002019 亿 帆 鑫 富 2014-09-30 立 信 已 报 备 客 附 表 6-1: 上 市 公 司 2014 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2015 年 3 月 2 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 601169 北 京 银 行 2014-05-20 安 永 华 明 已 报 备 事 务 所 轮 换 普 华 永 道 中 天 已 报 备 前 任 服 务 合 同 到 期, 客 户 重 新

More information

39 600806 昆 明 机 床 2015-08-10 瑞 华 已 报 备 前 任 服 务 年 限 较 长 毕 马 威 华 振 已 报 备 未 与 客 户 未 就 2015 年 审 计 收 费 达 成 一 致 意 见 40 601985 中 国 核 电 2015-08-13 天 健 已 报 备 定

39 600806 昆 明 机 床 2015-08-10 瑞 华 已 报 备 前 任 服 务 年 限 较 长 毕 马 威 华 振 已 报 备 未 与 客 户 未 就 2015 年 审 计 收 费 达 成 一 致 意 见 40 601985 中 国 核 电 2015-08-13 天 健 已 报 备 定 附 表 6-1: 上 市 公 司 2015 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2016 年 2 月 29 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 300326 凯 利 泰 2014-07-21 大 华 已 报 备 聘 期 已 满 立 信 已 报 备 客 户 业 务 发 展 需 要 2 300129 泰 胜 风 能 2014-12-30

More information

002464 金 利 科 技 临 时 停 牌 600071 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 600397 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌 000534 万 泽 股 份 临 时 停 牌 002610 爱 康 科 技 重 大 事 项, 特 停

002464 金 利 科 技 临 时 停 牌 600071 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 600397 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌 000534 万 泽 股 份 临 时 停 牌 002610 爱 康 科 技 重 大 事 项, 特 停 停 牌 002575 群 兴 玩 具 重 大 事 项, 特 停 002656 摩 登 大 道 重 大 事 项, 特 停 002725 跃 岭 股 份 重 大 事 项, 特 停 300084 海 默 科 技 重 大 事 项, 特 停 600250 南 纺 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002526 山 东 矿 机 重 大 事 项, 特 停 002571 德 力 股 份 重 大

More information

300356 光 一 科 技 重 大 事 项, 特 停 600828 茂 业 商 业 重 要 事 项 未 公 告, 连 续 停 牌 002266 浙 富 控 股 重 大 事 项, 特 停 002316 键 桥 通 讯 重 大 事 项, 特 停 002387 黑 牛 食 品 重 大 事 项, 特 停

300356 光 一 科 技 重 大 事 项, 特 停 600828 茂 业 商 业 重 要 事 项 未 公 告, 连 续 停 牌 002266 浙 富 控 股 重 大 事 项, 特 停 002316 键 桥 通 讯 重 大 事 项, 特 停 002387 黑 牛 食 品 重 大 事 项, 特 停 停 牌 000034 神 州 数 码 临 时 停 牌 000960 锡 业 股 份 重 大 事 项, 特 停 002658 雪 迪 龙 重 大 事 项, 特 停 300168 万 达 信 息 重 大 事 项, 特 停 600241 时 代 万 恒 重 要 事 项 未 公 告, 连 续 停 牌 600538 国 发 股 份 重 要 事 项 未 公 告, 停 牌 1 天 600540 新 赛 股 份 重

More information

600121 郑 州 煤 电 重 要 事 项 未 公 告, 连 续 停 牌 000546 金 圆 股 份 重 大 事 项, 特 停 600105 永 鼎 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌

600121 郑 州 煤 电 重 要 事 项 未 公 告, 连 续 停 牌 000546 金 圆 股 份 重 大 事 项, 特 停 600105 永 鼎 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 停 牌 000025 特 力 A 股 价 异 动, 特 停 002631 德 尔 未 来 重 大 事 项, 特 停 002713 东 易 日 盛 重 大 事 项, 特 停 300351 永 贵 电 器 重 大 事 项, 特 停 000948 南 天 信 息 重 大 事 项, 特 停 300008 天 海 防 务 重 大 事 项, 特 停 300032 金 龙 机 电 重 大 事 项, 特 停 600853

More information

600173 卧 龙 地 产 重 要 事 项 未 公 告, 连 续 停 牌 002547 春 兴 精 工 临 时 停 牌 600230 *ST 沧 大 重 要 事 项 未 公 告, 连 续 停 牌 600665 天 地 源 重 要 事 项 未 公 告, 连 续 停 牌 300282 汇 冠 股 份

600173 卧 龙 地 产 重 要 事 项 未 公 告, 连 续 停 牌 002547 春 兴 精 工 临 时 停 牌 600230 *ST 沧 大 重 要 事 项 未 公 告, 连 续 停 牌 600665 天 地 源 重 要 事 项 未 公 告, 连 续 停 牌 300282 汇 冠 股 份 停 牌 000557 *ST 广 夏 撤 销 退 市 风 险 警 示, 停 牌 1 天 002131 利 欧 股 份 临 时 停 牌 002707 众 信 旅 游 临 时 停 牌 300005 探 路 者 重 大 事 项, 特 停 300061 康 耐 特 临 时 停 牌 300062 中 能 电 气 重 大 事 项, 特 停 600455 博 通 股 份 重 要 事 项 未 公 告, 连 续 停

More information

000546 金 圆 股 份 重 大 事 项, 特 停 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 600146 商 赢 环 球 重 要 事 项 未 公 告, 连 续 停 牌 000517 荣 安 地 产 临 时 停 牌 002445 中 南 文 化

000546 金 圆 股 份 重 大 事 项, 特 停 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 600146 商 赢 环 球 重 要 事 项 未 公 告, 连 续 停 牌 000517 荣 安 地 产 临 时 停 牌 002445 中 南 文 化 停 牌 300104 乐 视 网 临 时 停 牌 600272 开 开 实 业 重 要 事 项 未 公 告, 停 牌 1 天 600315 上 海 家 化 重 要 事 项 未 公 告, 停 牌 1 天 600745 中 茵 股 份 重 要 事 项 未 公 告, 停 牌 1 天 002624 完 美 环 球 重 大 事 项, 特 停 600338 西 藏 珠 峰 重 要 事 项 未 公 告, 连 续

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

Moto Z

Moto Z Moto G Moto NFC 12:36 SIM microsd > / 0.5 SAR SAR SAR https://motorola.com/sar SAR > > www.motorola.com/rfhealth Moto / / www.motorola.com/device-legal /USB-C WLAN WLAN WLAN Moto Mods Nano SIM MicroSD

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

1 1200 1290 3 12 6 13 18 19 22 26 11 7 1 12 12 11 1883 1933 20 20 1911

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

[0] STM32 A/D A/D STM32 SD LCD ST Cortex-M3 STM32F103VC IAR Embedded Workbench for ARM C SD MATLAB MIT-BIH RS232 VC++ 6.0 MFC SD STM32 I

[0] STM32 A/D A/D STM32 SD LCD ST Cortex-M3 STM32F103VC IAR Embedded Workbench for ARM C SD MATLAB MIT-BIH RS232 VC++ 6.0 MFC SD STM32 I 杭 州 电 子 科 技 大 学 硕 士 学 位 论 文 基 于 STM32 的 便 携 式 心 电 图 仪 的 设 计 与 实 现 姓 名 : 武 利 珍 申 请 学 位 级 别 : 硕 士 专 业 : 电 路 与 系 统 指 导 教 师 : 张 文 超 20091201 [0] STM32 A/D A/D STM32 SD LCD ST Cortex-M3 STM32F103VC IAR Embedded

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - MAN2023A_CH_APPONE.doc

Microsoft Word - MAN2023A_CH_APPONE.doc AT91 softpack 1.5 代码解读 基于 SAM7X EK 综合应用代码解读 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,GPIO H161T01 代码解读 关键词 AT91SAM7X256 系统板 创建日期 2010 07 14 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled 1 5 IBM Intel 1. IBM 第 1/175 页 第 2/175 页 第 3/175 页 80 第 4/175 页 2. IBM 第 5/175 页 3. (1) 第 6/175 页 第 7/175 页 第 8/175 页 = = 第 9/175 页 = = = = = 第 10/175 页 = = = = = = = = 3. (2) 第 11/175 页 第 12/175 页 第 13/175

More information

穨電子公文交換期末報告noconclusion.PDF

穨電子公文交換期末報告noconclusion.PDF XM (8631343) (8631345) (8631351) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 Identifier Octets Length Octets Content Octets 17 18 Initial Octet 1 + + + 1 Length of Content Octets Subsequent Octet 19 SDIF-DataStream

More information

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 WV-CU950/G WV-CU650/G CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 S3125A 3 4 5 6 7 8 9 #9 $0 #8 $1 $2 $3 r q w e t $4 i u!0 y WV-CU950!1!3!4!7!6!5!8 @0!9 @3 @2 @1!2 o ALARM ACK ALM RESET ALM SUSPEND ALM

More information

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理 RS485 CAN Shield 用户手册 产品概述 RS485 CAN Shield 是为 NUCLEO/XNUCLEO 开发的一款的带 RS485 和 CAN 通信功能的扩展 板, 具备 RS485 CAN 通信功能 特点 : 基于 Arduino 标准接口设计, 兼容 UNO Leonardo NUCLEO XNUCLEO 开发板 具备 RS485 功能, 收发器为 MAX3485,3.3V

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

RTC

RTC STM32F0 使用 RTC Tamper 的几个注意事项 问题 : 该问题由某客户提出, 发生在 STM32F072 器件上 据其软件工程师讲述 : 使用 STM32F0 系列的标准外设库中 RTC_Tamper 的例程来进行修改, 例程中配置的是当在 RTC_TAMP1 引脚检测到上升沿的时候, 复位备份寄存器并产生中断 ; 客户根据其实际应用将配置中的上升沿改成下降沿, 以期待在检测到下降沿的时候,

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500009-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 DBG 引脚的使用方法 应用笔记 变更履历 变更履历 日期作者修改记录 2008-03-18 Raven Peng V1.0, 第 1 版 本文档由 12 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

穨UPSentry_SC_.PDF

穨UPSentry_SC_.PDF 3Phase UPSentry For: Windows 95/98/Me Windows NT40/2000/XP Table of Contents Chapter 0 Getting Started 0-1 0-1 0-1 0-2 Chapter 1 3Phase UPSentry 1-1 1-1 1-1 1-1 1-3 Chapter 2 3Phase UPSentry 2-1 Chapter

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

Microsoft Word - 版頭.doc

Microsoft Word - 版頭.doc 資 產 負 債 管 理 部 2013 年 第 8 期 2013 年 6 月 28 日 ( 本 刊 所 載 文 章 觀 點, 僅 代 表 個 人 意 見 ) 餘 額 寶 功 能 剖 析 及 對 銀 行 業 的 啟 示 摘 要 : 本 文 對 餘 額 寶 的 功 能 及 原 理 進 行 了 剖 析, 顯 示 其 渠 道 微 創 新 產 品 微 創 新 勝 在 支 付 便 捷 性 等 特 性 該 產 品

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

2015年莆田一青会射箭资格赛.xls

2015年莆田一青会射箭资格赛.xls 70(1) 70(2) 10's X's 70(1) 70(2) 10's X's 70(1) 70(2) 10's X's 70(1) 70(2) 10's X's 10's X's 10's X's 10's X's 1/4 1/2 1 659 7 6 [25,21,27,27,26] 7 [24,26,28,26] 6 [27,29,28] 2 656 9 6 [25,28,28,28,27]

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 ->

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 -> 目录 1 大概思路... 1 2 创建 WebAPI... 1 3 创建 CrossMainController 并编写... 1 4 Nuget 安装 microsoft.aspnet.webapi.cors... 4 5 跨域设置路由... 4 6 编写 Jquery EasyUI 界面... 5 7 运行效果... 7 8 总结... 7 1 1 大概思路 创建 WebAPI 创建 CrossMainController

More information

概述

概述 OPC Version 1.6 build 0910 KOSRDK Knight OPC Server Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOS_Init...5 2.2.2 KOS_InitB...5 2.2.3

More information

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有定时器复用功能的管脚进入的外部事件进行计数或计时, 也可以在输出引脚上产生脉冲宽度调试 (PWM) 信号 每个 GPT 模块包含两个 16 位定时 / 计数器 (TimerA

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

第六組公文傳閱表

第六組公文傳閱表 入 出 國 及 移 民 署 櫃 檯 服 務 項 目 一 覽 表 更 新 日 期 :96 年 4 月 臺 灣 地 區 人 民 辦 理 項 目 應 備 文 件 處 理 時 限 役 男 申 請 出 國 核 准 已 有 有 效 證 照 申 請 進 入 大 陸 地 區 許 可 在 大 陸 地 區 出 生, 未 曾 在 大 陸 地 區 設 有 戶 籍, 其 父 母 均 為 臺 灣 地 區 人 民 申 請 返 臺

More information

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理

目录 产品概述 硬件说明 芯片引脚功能概述 MAX SN65HVD 操作与现象 准备工作 跳线说明 工作原理 RS485 CAN Shield 用户手册 产品概述 RS485 CAN Shield 是为 NUCLEO/XNUCLEO 开发的一款的带 RS485 和 CAN 通信功能的扩展 板, 具备 RS485 CAN 通信功能 特点 : 基于 Arduino 标准接口设计, 兼容 UNO Leonardo NUCLEO XNUCLEO 开发板 具备 RS485 功能, 收发器为 MAX3485,3.3V

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

证券期货市场之主要诚信规范

证券期货市场之主要诚信规范 证 券 期 货 市 场 严 重 违 法 失 信 行 为 之 典 型 案 例 汇 编 二 〇 一 一 年 十 二 月 目 录 背 景 介 绍... 3 一 内 幕 交 易 泄 露 内 幕 信 息... 4 ( 一 ) 定 义... 4 ( 二 ) 法 律 责 任... 4 ( 三 ) 典 型 案 例... 4 1. 李 际 滨 黄 文 峰 内 幕 交 易 案... 4 2. 况 勇 张 蜀 渝 徐 琴

More information

pdf

pdf THE INSTLLING INSTRUCTION FOR CONCELED TNK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器 串口透传 前言 : 有了上面的基础, 接下来就可以打造无线串口功能了 实现平台 :WeBee CC2540 模块及功能底板 图 3.117 网蜂 CC2540 模块及功能底板实验现象 : 两台 PC 通过串口连接 CC2540, 通过设置好串口调试助手, 就可以相互收发信息 也可在一台 PC 利用两个串口实现这个功能 实验讲解 : 整个实验用到两个模块, 一个作为服务器 一个作为客户端, 重点为下面两个方向

More information

STM8L IAP 应用程序中编程指导

STM8L  IAP 应用程序中编程指导 STM8L IAP 使用说明 前言 本篇主要介绍 STM8Lxxxx 如何实现在应用程序中编程 (In-application programming) 1. IAP user Flash 分配框图及中断向量表重定向 0x8000 0x8080 0x9000 中断向量表重定向 0x9080 图 1:IAP 代码在 User Flash 中的空间分配 注意 : 1 和 2 为 IAP 代码空间 为客户自己的

More information

安全防范

安全防范 8989 Be Right TM Sigma 900 5/03 2003 ...1...4...8 1.1...8 1.2...9 1.2.1...9 1.2.2...12 1.3...12 1.4...12 1.4.1...12 1.4.2...13 1.4.3...14 1.5...15 1.6...16 1.7...16 1.7.1...17 1.7.2...17 1.7.3...18 1.7.4

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

untitled

untitled CPX CPX-FB14 CANopen 8041142 zh 1411d ... de... zh 1411d... P.BE-CPX-FB14-ZH... 8041142 (Festo AG & Co. KG,:73726 Esslingen,,2013) : http://www.festo.com : service_international@festo.com,, Festo P.BE-CPX-FB14-ZH

More information

湖 南 蓝 皮 书 电 子 政 务 障 体 系 项 目 建 设 提 出 了 明 确 的 要 求, 制 订 了 具 体 的 实 施 方 案 目 前, 全 省 资 金 场 地 人 员 已 按 要 求 基 本 到 位, 省 局 正 在 全 力 组 织 督 促 和 实 施 2 完 成 全 省 统 计 联 网

湖 南 蓝 皮 书 电 子 政 务 障 体 系 项 目 建 设 提 出 了 明 确 的 要 求, 制 订 了 具 体 的 实 施 方 案 目 前, 全 省 资 金 场 地 人 员 已 按 要 求 基 本 到 位, 省 局 正 在 全 力 组 织 督 促 和 实 施 2 完 成 全 省 统 计 联 网 2015 年 湖 南 省 统 计 局 电 子 政 务 发 展 形 势 分 析 及 2016 年 发 展 展 望 13 2015 年 湖 南 省 统 计 局 电 子 政 务 发 展 形 势 分 析 及 2016 年 发 展 展 望 湖 南 省 统 计 局 2015 年, 在 省 委 省 政 府 的 高 度 重 视 和 省 财 政 厅 省 发 改 委 的 大 力 支 持 下, 为 了 满 足 新 形 势

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

湘财证券股份有限公司关于推荐

湘财证券股份有限公司关于推荐 湘 财 证 券 股 份 有 限 公 司 关 于 推 荐 北 京 利 昌 鸿 达 科 技 股 份 有 限 公 司 股 份 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 并 公 开 转 让 的 推 荐 报 告 根 据 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 ( 以 下 简 称 全 国 股 份 转 让 系 统 公 司 ) 下 发 的 全 国 中 小 企 业

More information

é é é è H S H + E S + J ( 105 ) 2 2 2 ( 1 R S2. 1)( 1 R S. 4. 123)( 1 RS. 612345. ) 1 ( 1 R S1) 2 2 ( 1 R S7. 123456)( 1 R 81234567. ) é ê

More information

1、

1、 0 友情提示 零死角玩转 STM32 系列教程由初级篇 中级篇 高级篇 系统篇 四个部分组成, 根据野火 STM32 开发板旧版教程升级而来, 且经过重新深入编写, 重新排版, 更适合初学者, 步步为营, 从入门到精通, 从裸奔到系统, 让您零死角玩转 STM32 M3 的世界, 与野火同行, 乐意惬无边 另外, 野火团队历时一年精心打造的 STM32 库开发实战指南 将于今年 10 月份由机械工业出版社出版,

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information