Microsoft Word - CNSP-DM137-PRE.003.doc

Size: px
Start display at page:

Download "Microsoft Word - CNSP-DM137-PRE.003.doc"

Transcription

1 版本 : PRE.003 发布尔日期 : 2006/12/11 文件名称 总页数 : 24 : CNSP--PRE.003.doc 16 位 LED 恒流驱动芯片 具错误侦测功能

2 16 位 LED 恒流驱动芯片 具错误侦测功能 芯片概述 是专为 LED 显示应用而设计的沈入电流式恒流驱动芯片 内建移位缓存器, 数据锁存器, 以及恒流电路组件于硅 CM 芯片上 16 个输出通道的电流值可由一外挂电阻调整 内建开 / 短路侦测组件以及过温警示 / 断电组件, 帮助使用者侦测 LED 异常 ( 开 / 短路与过温 ) 芯片提供两种传递异常信息给系统的方法 : 一为从串行输出端 () 传输侦测数据回系统, 藉由与原始数据比对可以判定哪一通道发生异常 ; 二为透过警示端 (Alarm) 发送错误信息至系统 芯片特色 恒流输出 : 5mA 至 90mA( 以一外挂电阻调整 ) 最大输出承受电压 :17V 最大时钟频率 :25MHz 内建 LED 开 / 短路侦测功能 : 有 实时侦测 或 智能侦测 等方式可供选择 快速的错误侦测反馈 :100ns( 最小值 ) 过温保护功能 : 过温警示 ( 当芯片接面温度大于 110 C) 过温断电 ( 当芯片接面温度大于 150 C) 芯片工作电压 : 3.3V 至 5.5V 应用 LED 交通可变情报板 (VMS) 户内 / 户外 LED 显示屏 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 1

3 功能方块图 OUT 0 OUT 15 VCC 开 / Smart 短路偵測 Detection 與智能偵測 Controller 选择器 LED LED Open 开 / 短路侦测器 Short Detector REXT Temperature Sensor 温度感测器 Voltage 基准电压 Reference 16 EN 16-channel Constant 通道恒流驱动器 Current Driver LAT 16 比特数据锁存器 16 bit Latch CLK DAI bit 比特移位缓存器 Shift Register SDO 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 2

4 脚位图 SSOP28 HSOP28 VCC EN LAT CLK DAI OUT0 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT RESERVED REXT SDO OUT15 OUT14 OUT13 OUT12 OUT11 OUT10 OUT9 OUT8 VCC EN LAT CLK DAI OUT0 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT Thermal Pad RESERVED REXT SDO OUT15 OUT14 OUT13 OUT12 OUT11 OUT10 OUT9 OUT8 QFN32 OUT4 OUT5 OUT6 OUT7 OUT8 OUT9 OUT10 OUT11 OUT OUT3 OUT OUT2 OUT14 OUT Thermal Pad (top view) OUT1 OUT DAI SDO CLK REXT LAT EN VCC RESERVED 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 3

5 脚位定义 脚位编号脚位名称功能 SSOP28/HSOP28: 1 QFN32: 5 SSOP28/HSOP28: 2 QFN32: 6 SSOP28/HSOP28: 3 QFN32: 9 SSOP28/HSOP28: 4 QFN32: 10 SSOP28/HSOP28: 5 QFN32: 11 SSOP28/HSOP28: 6 QFN32: 12 SSOP28/HSOP28: 7~22 QFN32: 13~28 SSOP28/HSOP28: 23 QFN32: 29 SSOP28/HSOP28: 24 QFN32: 30 SSOP28/HSOP28: 25 QFN32: 31 SSOP28/HSOP28: 26 QFN32: 32 VCC EN LAT CLK DAI OUT0~15 SDO REXT 芯片工作电源端 输出使能端高电平 ( H ) 时, 所有输出通道关闭低电平 ( L ) 时, 所有输出通道打开 锁存信号输入端, 影像数据于锁存信号上升沿时, 从移位缓存器传出 反之, 则为锁存状态 时钟信号输入端, 串行输入数据于时钟信号的上升沿时被取样 串行数据输入端 开 / 短路侦测模式选择端 : 高电平 ( H ) 时, 为 LED 短路侦测模式低电平 ( L ) 时, 为 LED 开路侦测模式边缘 (Edge) *1 时, 为 智能侦测 模式 沉入式电流输出端 (open-drain) 串行数据输出端 警示信号输出端 (open-drain): ( 应接上一上拉电阻 (pull-high resistor)) 高电平 ( H ) 时, 为正常运行状态低电平 ( L ) 时, 表示发生 LED 开 / 短路或芯片过温等异常状况 串行数据输出模式选择端 : 设为高电平 ( H ) 时, 串行数据于时钟信号 (CKO) 下降沿时传出设为低电平 ( L ) 时, 串行数据于时钟信号 (CKO) 上升沿时传出 外挂电阻端, 外挂电阻应接于 REXT 与 端之间以设定恒流值 SSOP28/HSOP28: 27 QFN32: 3 RESERVED 此端留做测试之用, 使用者应使此端保持开路 SSOP28/HSOP28: 28 导热焊盘 QFN32: 1, 2, 4, 7, 8 导热焊盘 接地端 *1 可为上升沿或下降沿, 细节请参看 14~16 页 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 4

6 输入及输出等效电路 1. CLK, DAI, LAT, SDO, EN, 端口 VDD INPUT 2. 端口 VDD OUT 3. 端口 VDD 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 5

7 最大工作范围 (Ta=25 C, Tj(max) = 150 C) 特性符号最大工作范围单位 电源电压 VCC -0.3 ~ 7.0 V 输入电压 VIN -0.3 ~ VCC+0.3 V 输出电流 IOUT 100 ma 输出电压 VOUT -0.3 ~ 17 V 输入时钟频率 FCKI 25 MHz 接地端电流 I 1600 ma 消耗功率 (4 层板 PCB) 热阻值 PD Rth(j-a) 1.1 ( SSOP28 : Ta=25 C) 2.11 ( HSOP28 : Ta=25 C) 3.18 ( QFN32 : Ta=25 C) (SSOP28 ) 59.1 (HSOP28 ) 39.3 ( QFN32 ) 工作温度 Top -40 ~ 85 C 存放温度 Tstg -55 ~ 150 C 推荐工作参数 W C/W 特性符号条件最小值一般值最大值单位 电源电压 VCC V *1 输出电压 VOUT 驱动器电流导通 *2 输出电压 VOUT 驱动器电流关闭 输出电流 输入电压 输入时钟频率 VCC 17 IO OUTn 5 90 IOH VOH = VCC 0.2 V +1.6 IOL VOL = 0.2 V -1.5 VIH 0.8VCC VCC VCC = 3.3 V ~ 5.5V VIL VCC FCKI 单一芯片运行状态 25 芯片串接应用状态 (SDO= H, CL=13pF) 芯片串接应用状态 (SDO= L, CL=13pF) 锁存信号 (LAT) 脉波宽度 tw LAT 15 数据信号 (CLK) 脉波宽度 tw CLK 15 使能信号 (EN) 脉波宽度 tw EN 15 端之脉波宽度 tw 15 串行输入数据 (DAI) 的启动时间 tsetup(d) 10 VCC = 5.0V 串行输入数据 (DAI) 的保持时间 thold(d) 10 锁存信号 (LAT) 的启动时间 tsetup(l) 10 锁存信号 (LAT) 的保持时间 thold(l) 10 端的启动时间 tsetup(o) 25 开 / 短路侦测反应时间 tdet V ma V MHz ns *1 需注意功率消耗受限于封装与环境温度 *2 最大输出承受电压也包括任何的过冲电压 (overshoot), 不可超过 17V 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 6

8 电气特性 (VCC = 5.0 V, Ta = 25 C 除非另有规定 ) 特性符号测试条件最小一般最大单位 输入电压 - 高电平 ( H Level) VIH CM 逻辑准位 0.8VCC VCC 输入电压 - 低电平 ( L Level) VIL CM 逻辑准位 0.2VCC V 输出端漏电流 IOL VOH = 17 V ±1.0 ua 串行数据输出端 (S-OUT) 电压 VOL IOL = 1.4 ma 0.2 VOH IOH= 1.2 ma VCC-0.2 V 输出电流差异 ( 通道与通道间 ) *1 IOL1 ±3 % VOUT = 1.0 V Rrext = 2.2 KΩ 输出电流差异 ( 芯片与芯片间 ) *2 IOL ma 输出电流对输出电压之变异率 % / VOUT Rrext = 2.2 KΩ VOUT = 1 V ~ 3 V ±0.1 ±0.5 % / V 输出电流对电源电压之变异率 % / VCC Rrext = 2.2 KΩ ±1 ±4 LED 开路侦测起始电压 V(od) 0.3 所有输出通道导通 LED 短路侦测起始电压 V(sd) 0.5VCC 过温警示起始温度 T(alm) 110 芯片接面温度 过温断电起始温度 T(sht) 180 V C *3 电源端电流 I DD(off) I DD(off) I DD(on) I DD(on) I DD(on) 上电后除了 VCC 与 令其它所有脚位开路 当输入信号为稳态 Rrext = 2.9 KΩ 所有输出通道关断 当输入信号为稳态 Rrext = 2.9 KΩ 所有输出通道打开 当输入信号为稳态 Rrext = 560 Ω 所有输出通道关断 当输入信号为稳态 Rrext = 560 Ω 所有输出通道打开 ma *1 输出电流差异 ( 通道与通道间 ) 定义为 任意 Iout - 平均 Iout 与 平均 Iout 的比率 平均 Iout =(Imax+Imin)/2 *2 输出电流差异 ( 芯片与芯片间 ) 定义为任选两芯片之最大输出电流与最小输出电流的落差范围 *3 IO 除外 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 7

9 交流特性 (VCC = 5.0V, Ta = 25 C 除非另有规定 ) 特性符号测试条件最小一般最大单位 延迟反应时间 ( 低电位到高电位 ) EN-to-OUT15 18 LAT-to-OUT15 tplh 20 CLK-to- (SDO = L ) VIH = VCC 22 CLK-to- (SDO = H ) VIL = 15 EN-to-OUT15 Rrext = 2.9 KΩ 22 延迟反应时间 LAT-to-OUT15 15 tphl VL = 5.0 V ( 高电位到低电位 ) CLK-to- (SDO = L ) 20 RL = 180 Ω CLK-to- (SDO = H ) 14 CL = 13 pf 电流输出端的电位爬升时间 tor 4.0 电流输出端的电位下降时间 tof Ra = 470 Ω 6.0 输出通道间导通时间的延迟 (OUT(n)-to-OUT(n+1)) tod 2.0 ns 交流特性 (VCC = 3.3V, Ta = 25 C 除非另有规定 ) 特性符号测试条件最小一般最大单位 延迟反应时间 ( 低电位到高电位 ) EN-to-OUT15 35 LAT-to-OUT15 27 tplh VIH = VCC CLK-to- (SDO = L ) 20 CLK-to- (SDO = H ) VIL = 18 EN-to-OUT15 Rrext = 2.9 KΩ 24 延迟反应时间 LAT-to-OUT15 31 tphl VL = 5.0 V ( 高电位到低电位 ) CLK-to- (SDO = L ) 18 CLK-to- (SDO = H ) RL = 180 Ω 19 电流输出端的电位爬升时间 tor CL = 13 pf 43 电流输出端的电位下降时间 tof Ra = 470 Ω 9.0 输出通道间导通时间的延迟 (OUT(n)-to-OUT(n+1)) tod 2.8 ns VCC VL Ra VCC RL OUTn REXT Rrext CL CL 交流特性测试电路 Switching Characteristics Test Circuit 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 8

10 时序图 1. CLK-DAI, twclk twc LK CLK tsetup(d) thold(d) DAI (SDO='L') tplh tplh tphl tphl (SDO='H') 2. CLK-LAT CLK tsetup(l) thold(l) LAT twlat 3. LAT-OUT15 LAT tor tof 90% 90% OUT15 (current) tplh 10% tphl 10% 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 9

11 4. EN-OUT15 twen EN tor tof 90% 90% OUT15 (current) tplh 10% tphl 10% 5. OUTn+1-OUTn OUTn+1 (current) tod OUTn (current) 6. -LAT, CLK (EN= L ) CLK LAT tsetup() (rising edge) tdet (falling edge) tdet (-high-) tw (high--high) 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 10

12 输出恒流设定 16 个通道的输出恒流值由一外挂电阻设定, 外挂电阻连接于接地端 () 与外挂电阻端 (REXT) 之间 改变外挂电阻值, 可以在 5mA 到 90mA 的范围内调节电流 REXT 端的参考电压 (Vrext) 约为 0.6V 输出恒流值可由下列的图表及等式概略计算: Iout(mA) M 输出电流 Output Current Iout 与外挂电阻 as a Function Rext of 关系图 Rrext value Iout (ma) Vrext (V) Iout (ma) ~ 70 Rrext (KΩ) M Rrext (KΩ) Output 输出电流 Current Iout as a 与输出电压 Function of Vout Output 关系图 Voltage Iout (ma) Vout (V) 为使恒流表现理想, 设法使输出电压保持稳定是必要的 使用者可参考上图来设定任一输出恒流值 (Iout) 所要求的最小输出电压 (Vout) 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 11

13 串行数据接口 串行输入数据 (DAI) 于时钟信号 (CLK) 上升沿时传入 16 比特移位缓存器 数据 1 代表其对应的输出通道之电流导通, 数据 0 则表示关断 数据于锁存信号 (LAT) 上升沿的同时传入 16 比特锁存器中 ; 反之, 数据则被拴锁住 锁存信号应于 影像数据所对应到的最后一个时钟信号下降沿 之后送出 当使能信号 (EN) 维持在高电平时, 所有输出通道关断 ; 反之, 在低电平时, 所有输出通道打开 串行输出数据 () 的参考时钟位准可透过 SDO 端来设定 当 SDO 端设为高电位 ( H ) 时, 串行数据于时钟信号下降沿的同时从原芯片传出至下一级芯片 当 SDO 端设为低电位 ( L ) 时, 串行数据于时钟信号下降沿的同时从原芯片传出至下一级芯片 CLK DAI SDO LAT EN OUT0 OUT1 OUT previous data tsetup(l) high high high high high high on off on off on off OUT12 OUT13 OUT14 OUT15 on off on off on off on off 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 12

14 警示功能 将一颗上拉电阻 (pull-high resistor) 接于 端, 便能由其电压位准来判断目前运行的状态 当 为 H ( 高电平 ) 代表一切正常, 为 L ( 低电平 ) 则表示有异常状况发生 : 可能是发生 LED 开路或短路故障, 也可能是芯片内部半导体接面温度过高, 抑或两者同时并存 参考下表可判断发生的状况为何 : 端 EN 端 端 状态 H L 可忽略 正常运行 H L L L LED 开路或芯片过温 H L L H LED 短路或芯片过温 H L H 可忽略 过温警示或断电 当遇到锁存信号 (latch) 的上升沿时, 信号会被重置为高电压位准, 并重新进行检测工作 直到碰上下一个时钟信号为之前, 端会把检测结果发报出来 如此持续到下一次锁存信号的上升沿, 便完成一个 循环 时序图如下所示 : CLK LAT (SDO= L ) (SDO= H ) status1 状态 1 正常 LED 开 / 短路或过温警示 / 断电 正常 normal LED open/short or thermal alarm/shutdown normal status2 状态 2 normal abnormal normal 正常 異常 正常 实际应用中, 系统可将所有芯片的 端相接在一起, 并共接同一颗上拉电阻, 以简化电路设计与反馈 (feedback) 系统端的讯号线 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 13

15 过温警示与断电 操作中, 当芯片内部半导体接面温度 (junction temperature) 上升超过约 110 C 时, 端将降低电压位准以发出警告讯息 此时系统应立即采取降温措施, 例如启动风扇 冷却系统, 或是降低输出等效电流等 如不进行任何处置, 芯片内部半导体接面温度可能会持续上升 ; 当超过约 150 C 后, 芯片会自动将所有的输出通道关闭, 正在驱动的 LED 将无法点亮 理论上此时芯片会开始逐渐降温, 直到接面温度低于 110 C 后, 端电压将回升至高位准 (high level), 解除警告讯息, 同时重新启动所有输出电流通道的运作 正常 normal normal 正常正常 normal alarm 警示 110 C 警示 150 C alarm T( C) normal 正常 alarm + shutdown 警示 + 断电 Relations between 过温警示 Alarm / 断电与芯片温度关系图 Function and Junction Temperature LED 开 / 短路侦测 侦测 LED 开 / 短路的结果可从 端或由串行输出端 () 读取回来加以判断 端设定为低位准 ( L ), 会进行 LED 开路侦测, 判断标准为 : 输出电流导通的条件下, 输出端电压 (Vout) 若低于 0.3V 即视为发生 LED 开路故障 当 端设定为高位准 ( H ), 则进行 LED 短路检测, 判断标准为 : 输出电流导通的条件下, 输出端电压高于 1/2VCC 即视为发生 LED 短路故障 当下列三项条件成立后, 开始执行 LED 开路 / 短路检测工作, 并将侦测结果传回相应的原移位缓存器 :(1) 输出通道对应到其移位缓存器内之影像数据为 1 者 ( 亦即为 1 者才测, 为 0 者不测 );(2) 使能端 (EN) 打开 (EN= L, 即设定为低电平 );(3) 锁存信号 (LAT) 进入上升沿 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 14

16 系统可由串行输出端 () 传回的故障侦测数据来判定每一通道所驱动之 LED 的状态 当任一输出通道之原始影像数据为 1, 但传回为 0 者, 必为 LED 开路或短路 若原始影像数据即为 0 或使能端 (EN) 设定在高电平, 则芯片不执行侦测作业, 因此系统所读回的仍是原始的影像数据 基于上述运作原理, 系统能够不间断地从串行输出端读回所有数据, 并和储存于内存中已发送过的影像数据逐一比对, 如有任何相异处 ( 1 0 ), 即可明确判定哪些芯片的哪些通道所驱动的 LED 发生故障 因无时无刻不在进行检测, 且不必于影像模式 (image mode) 与检测模式 (detection mode) 之间不停切换, 不会影响正常影像数据之传输以及终端画面的显示, 故能真正做到 实时侦测 (real-time monitor) 之效果, 此功能特别适合应用于 LED 可变情报板 (VMS, Variable Message Signs) 然就大型显示屏应用而言, 若将数据量庞大的前一帧画面影像数据事先保留于内存中, 后再逐一回读比对, 容易造成系统较大的负担 因此, 另提供两种方案来完成 LED 开 / 短路的侦测作业 : 方案一 : 先将使能端打开 (EN= L ), 并把所有通道的影像数据全写入 1, 使所有输出通道导通 其后, 若从串行输出端读回任一资料为 0 者, 即可轻易地经由计数时钟信号的数目, 回推哪些芯片的哪些通道所驱动的 LED 发生故障 此可大幅减轻系统运算负担, 且无需额外占用内存资源 此法可于系统开机时 特定时间或条件发生时进行检测工作 方案二 : 特别设计的 智能侦测法 : 利用 端产生一边缘 (edge) 变化 ( 包含上升沿 下降沿 低 - 高 - 低脉冲 或高 - 低 - 高脉冲 ) 来启动 将此 端灌入的边缘变化信号置于 锁存信号脉冲 与 一帧画面影像数据所属的第一个时钟信号 之间, 由最后停留的电压位准来决定检测的是 LED 开路还是短路故障, 并同时让使能端打开 (EN= L ), 则芯片会自动进行以下两件工作 : 1) 将锁存器内储存的数据全部重置为 1, 并令所有输出通道直接导通进行检测 可省去前述方法必须先耗费传送一帧画面影像数据, 将所有影像数据全写入 1 的时间与系统资源 2) 于全部输出通道导通的同时, 降低输出峰值电流为仅约原始恒流值的十分之一, 直到下一次锁存讯号的上升沿触发, 才恢复原设定的恒流值 如此能避免检测过程中发生显示屏画面一瞬间的全闪亮而为人眼所感知 最后, 同样经由轻易地计数时钟信号的数目来回推哪些芯片的哪些通道所驱动的 LED 发生故障, 此可真正实现 测试于无形 之理想 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 15

17 LED 开路 / 短路侦测时序图 DAI CLK LAT EN SDO OUTn (current) calculate 计算时钟之周期 clocks cycle real-time 实时侦测周期 monitor cycle invisible 无形侦测 周期 detection cycle D A [1] D A [0] D C [16n-1] D C [16n-2] D C [1] D C [0] D D [16n-1] D D [ 16n-2] D D [0] D E [16n-1] 16n-1 16n n-1 16n n-1 16n n D A [16n-1] C D E A [16n-1] E Z [0] E A [16n-2] E A [16n-3] E A [0] E A [1] E B [16n-1] E B [16n-2] E B [0] E C [16n-1] E B [1] E C [16n-1] E C [16n-2] E C [0] E D [16 n-1] D [16n-1] D [16n-1] tdet tde t tde t tdet tde t(o s) reset to high reset to high reset to high reset to high Function Function Function Function Frame Data Z Frame Data A Frame Data C ~ 1/10 base current Frame Data D n-16 16n n-2 16n-1 DAI 1 2 n 上图为 There are n 颗 n x 做串接之应用 connected in cascade. D[x] : Image 影像数据 Data E[x]:LED : Error Message 开路 / 短路错误侦测数据 of LED Open/Short Detection 1 0 hi gh 1 0 hi gh hi gh hi gh hi gh hi gh hi gh hi gh hi gh on off 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 16

18 上拉电阻点晶科技股份有限公司 短路侦测之起始电压 (Threshold Voltage) 设定 预设的短路侦测起始电压约为 1/2 VCC 使用者如欲调整预设的起始电压, 可于 LED 短路侦测的同时, 重新切换或设定 VLED 的电压 请参考以下电路的范例图 : VSHORT Power 电源系统 System VLED VCC VCC pull-high resistor DAI 1 DAI 2 Controller 控制器 VCC VCC n DAI n-1 DAI Example for LED shifting 短路侦测起始电压调整范例图 the threshold of LED short detection 需注意 VSHORT 必须满足下列不等式 : 1 2 VCC < V SHORT < 1 VCC + V F(LED forward voltage) N(Numbers of LED in a string) 2 新的短路侦测起始电压将近似于 : 1 2 VCC + ( VLED VSHORT ) 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 17

19 输出通道间导通时间的延迟 系统于同一时间导通所有输出通道可能造成较大的突波电流 (in-rush current) 为减轻所造成的影响, 设计让每个输出通道间存在一固定的单位延迟 ( 约 1.5ns) 输出延迟规律为 :OUT15 与 OUT7 皆无延迟 ;OUT14 与 OUT6 相对于 OUT15 及 OUT7 分别有 1 单位 ( 约 1.5ns) 的延迟 ;OUT13 与 OUT5 相对于 OUT15 及 OUT7 则分别有 2 单位的延迟 ; 其它依此类推 整体亮度调整 并无内建整体亮度调整功能 为获得较低分辨率的整体亮度调整效果, 使用者可以利用以下两种方法 : 一为提供一与锁存信号同步的 PWM 信号源来控制使能端 ; 二为调变外挂电阻的阻值或是改变外挂电阻两端之电位差, 请参考以下电路图 : REXT R0 R1 R2 Rn-2 Rn-1 Rn Global 以梯型并接外挂电阻实现整体亮度调整功能 Brightness Control with Resistor Ladder REXT REXT Controller 控制器 数码控制式 Digital Resistor 电阻 Rrext Controller 控制器 Digital-to-Analog 数码 - 模拟 Converter 转换器 Global 以数码控制式电阻 Brightness Control 实现整体亮度调整功能 with Digital Resistor Global 以数码 Brightness / 模拟转换器 Control 实现整体亮度调整功能 with D/A converter 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 18

20 散热功率 需注意到芯片的散热功率受到封装与环境温度的限制, 故在设定最大输出电流值时需考虑到实际操作条件 最大可散热功率可由下式来计算 : 最大散热功率 Pd(W) = 最大接面温度 Tj( C) 环境温度 Ta( C) 热阻值 ( C / Watt) 散热功率 (Power Dissipation, Pd(W)) 与环境温度 (Ambient Temperature = Ta ( C)) 的关系可以参考下图 : 4.0 Power Dissipation Pd(W) QFN32 HSOP28 SSOP28 Tj(max)=150 C Rth(QFN32)=39.3 C/Watt Rth(HSOP28)=59.1 C/Watt Rth(SSOP28)=113.3 C/Watt Ambient Temperature Ta( C) 芯片的散热功率可由下列等式来决定, 务必使实际功率小于可允许最大散热功率 : Pd(W) = VCC(V) IDD(A) + Vout0 Iout0 Duty0 + + Vout15 Iout15 Duty15 < Pd(max)(W) 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 19

21 封装外型尺寸 SSOP28 D DETAIL A h x 45 E1 E ZD C θ2 0.1MM C SEATING PLANE e B A1 A GAUGE PLANE DETAIL A 0.25 MM R θ1 L R1 θ NOTES: DIMENSION D DOES NOT INCLUDE MODE PROTRUSIONS OR GATE BURRS. MOLD PROTRUSIONS AND GATE BURRS SHALL NOT EXCEED INCH PER SIDE DIMENSION IN MM DIMENSION IN INCH SYMBOL MIN. NOM. MAX. MIN. NOM. MAX. A A1 A2 B C e D E E1 L h ZD R1 R θ θ1 θ2 JEDEC BASIC BASIC REF REF MO (AF) 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 20

22 封装外型尺寸 HSOP28 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 21

23 封装外型尺寸 QFN C TOP VIEW D BOTTOM VIEW D e 17 8 L E E C e b 0.10 M CAB 0.10 C SEATING PLANE A3 A1 A y C SYMBOL DIMENSION (mm) DIMENSION (MIL) MIN. NOM. MAX. MIN. NOM. MAX. A A A REF 9.84 REF b D 5.00 BSC BSC D E 5.00 BSC BSC E e 0.50 BSC BSC L y Note: 1.DIMENSIONING AND TOLERANCING CONFORM TO ASME Y145.5M REFER TO JEDEC STD. MO-220 WHHD-2 ISSUE A 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 22

24 这里列出的产品是设计用于普通电子产品的应用, 例如电器 可视化设备 通信产品等等 因此, 建议这些产品不应该用于医疗设施 手术设备 航天器 核电控制系统 灾难 / 犯罪预防设备等类似的设备 这些产品的错误使用可能直接或间接导致威胁到人们的生命或者导致伤害或财产损失 点晶科技将不负任何因这些产品的错误使用而导致的责任 任何人若购买了这里所描述的任何产品, 并含有上述意图或错误使用, 应自负全责与赔偿 点晶科技与它的通路商及所有管理者和员工必捍卫己方抵拒所有索赔 诉讼, 及所有因上述意图或操作而衍生的损坏 成本 及费用 16 位 LED 恒流驱动芯片具错误侦测功能 Version:PRE.003 Page 23

Microsoft Word - CNSP-DD312-PRE.001.doc

Microsoft Word - CNSP-DD312-PRE.001.doc 版本 : PRE.001 出版日期 : 200/8/1 文件名称 总页数 : 14 : CNSP--PRE.001.doc 单通道大功率 LED 恒流驱动器 具错误侦测功能 单通道大功率 LED 恒流驱动器 具错误侦测功能 产品概述 是专为大功率 LED 应用所设计的恒流驱动器 芯片内含恒流产生电路, 可透过外挂电阻来设定输出恒流值 透过芯片的使能端可以控制输出通道的开关时间, 切换频率最高达一兆赫

More information

DM117

DM117 Version : PRE.001 Issue Date : 2006/5/1 File Name : SP--PRE.001.doc Total Pages : 25 16-bit Constant Current LED Driver with Error Detection 16-bit Constant Current LED Driver with Error Detection General

More information

Microsoft Word - SP-DM13G-A.002_CN.doc

Microsoft Word - SP-DM13G-A.002_CN.doc 版本 : A.002 发布日期 : 2008/11/10 文件名称 : SP-DM13G-A.002_CN.doc 总页数 : 26 位恒流 LED 驱动芯片 具错误侦测功能 位恒流 LED 驱动芯片 具错误侦测功能 DM13G 芯片概述 DM13G 是专为 LED 显示应用设计的沉入式恒流驱动芯片 内建移位寄存器, 数据锁存器, 以及恒流电路组件于硅 CMOS 芯片上 个输出通道的电流可由一外挂电阻调节

More information

Microsoft Word - SP-DM631-A.003.doc

Microsoft Word - SP-DM631-A.003.doc Version : A.003 Issue Date : 2008/05/12 File Name Total Pages : 24 : SP--A.003.doc 16-CHANNEL CONSTANT CURRENT LED DRIVER WITH PROGRAMMABLE PWM OUTPUTS 9-7F-1, Prosperity Road I, Science Based Industrial

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

Microsoft Word - SP-DM412-A.003.doc

Microsoft Word - SP-DM412-A.003.doc Version : A.003 Issue Date : 2007/08/27 File Name : SP--A.003.doc Total Pages : 35 3-CHANNEL CONSTANT CURRENT LED DRIVERS WITH LED OPEN DETECTION 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074>

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074> LED 應 用 與 驅 動 方 式 點 晶 科 技 June 2008 大 綱 LED 簡 介 LED 混 色 原 理 LED 驅 動 方 式 LED 應 用 實 例 Q&A LED Light Emitting Diode (1) LED 是 利 用 電 能 直 接 轉 化 為 光 能 的 原 理, 在 半 導 體 內 正 負 極 2 個 端 子 施 加 電 壓, 當 電 流 通 過, 使 電 子

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

概述

概述 产品描述 DE1102 是一款 LED 线性恒流驱动芯片, 提供单通道恒定输出电流, 电流输出范围从 5~500mA, 电流数 值可以通过外接电阻 (RFB) 进行设定, 输出端可承受最大电压达 32V 芯片的工作电压范围为 3.3~5.5V 低至 0.3V 的电流设定电压以及 200mV 低饱和压降驱动级, 使得 DE1102 能够在宽驱动电压范围内提供稳定 的电流输出, 极大增强恒流灯条模组的级联能力

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

×××芯片用户手册

×××芯片用户手册 LXY28162 用户手册 2008 年 07 月 V1.0 版权所有, 侵权必究深圳市灵芯宇科技有限公司第 1 页, 共 13 页 目录 1 芯片功能说明... 4 1.1 芯片主要功能特性... 5 1.2 芯片应用场合... 5 1.3 芯片基本结构描述... 5 2 芯片特性说明... 6 2.1 芯片的封装和引脚... 6 2.2 芯片最大极限值... 7 2.3 芯片电气特性 (VDD=5)...

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

EG-中文说明书-2012.8.14.cdr

EG-中文说明书-2012.8.14.cdr 版 本 号 :V2.0 2012 年 0 月 紧 急 报 警 专 用 型 GSM 智 能 短 信 传 输 / 自 动 拨 号 报 警 系 统 SOS Intelligent Auto-dial Alarm System YL-00EG STATUS POWER MONITOR ALARM SOS DISARM 欢 迎 使 用 深 圳 市 驰 通 达 电 子 有 限 公 司 研 发 生 产, 深 圳

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information