<4D F736F F F696E74202D20D0CBB3CECCD8B8D6C5E0D1B52E BBCE6C8DDC4A3CABD5D>

Size: px
Start display at page:

Download "<4D F736F F F696E74202D20D0CBB3CECCD8B8D6C5E0D1B52E BBCE6C8DDC4A3CABD5D>"

Transcription

1 SCL 功能培训 何纯玉 东北大学轧制技术及连轧自动化国家重点实验室

2 主要内容 1 SCL 介绍 2 SCL 安装与程序建立过程 3 SCL 程序结构定义 4 SCL 程序结构控制 5 SCL 变量访问 6 SCL 变量类型转换 7 SCL 语句与梯形图对照 8 SCL 示例 1 温度滤波处理 9 SCL 示例 2 阀门按次序延迟打开

3 1 SCL 介绍 SCL( 结构化文本编程 ) 结构化文本是一种类似于计算机高级语言的编程方式, 它的语法规范接近计算机中的 PASCAL 语言 Step7 默认情况下只支持梯形图和指令表编程, 但通过安装 S7- SCL 软件包和 S7-Graph 软件包可实现结构化文本和流程图编程 可以简化传统的 梯形图 编程方式难于实现复杂的控制要求 SCL 程序编写完成后, 编译生成 FC FB 或 DB, 与梯形图配合使用 系统的总体结构可以由梯形图建立, 对一些复杂逻辑可以用 SCL 编写, 编译后得到 FC FB, 用梯形图来调用, 简化系统的维护难度

4 2 SCL 安装与程序建立过程 在 Step7 中, 结构化文本编程被称为结构化控制语言 (Structured Control Language), 缩写为 SCL. Step7 V5.3 的软件包中已包含了 S7-SCL 软件包, 在安装 Step7 V5.3 时, 系统会提示是否要安装 S7-SCL, 把复选框打钩即可

5 2 SCL 安装与程序建立过程 SCL 软件包用于以 源文件 的方式编写功能块 函数块 步骤如下 : 第一步 : 当 Step7 中已正确安装 SCL 软件包后, 会有如下界面 :

6 2 SCL 安装与程序建立过程 第二步 : 进入 SCL 编程界面, 并选择准备编写的程序块的类别

7 2 SCL 安装与程序建立过程 第三步 : 编写程序并编译

8 2 SCL 安装与程序建立过程 用 SCL 编写的功能块, 与普通梯形图编写的一样, 可以被别的梯形图调 用 ;SCL 源程序, 保存在 Sources 文件夹中, 随时打开进行修改

9 3 SCL 程序结构定义 1 常见数据类型 布尔型 :bool,1 位字节 :byte,1 个字节整数 :int,2 个字节长整数 :dint,4 个字节字 :word,2 个字节长字 :dword,4 个字节浮点数 :real,4 个字节字符 :char,1 个字节字符串 :string[xx],xx+2 个字节 变量定义方法 : 变量名 : 变量类型 ; 例如 : i:int; r:real; arr_int:array[1..10] of int; 数组定义 :array[x..x] of 类型

10 3 SCL 程序结构定义 2 数据块定义 DATA_BLOCK DBxxx // // Block Comment... // STRUCT END_STRUCT BEGIN END_DATA_BLOCK DATA_BLOCK DB150 // // 这是测试的数据块 // STRUCT i:int; r:real; c:char; s:string[30]; arr_r:array[1..10] OF REAL; END_STRUCT BEGIN END_DATA_BLOCK

11 3 SCL 程序结构定义 2 数据块定义 DATA_BLOCK DB150 // // 这是测试的数据块 // STRUCT i:int; r:real; c:char; s:string[30]; arr_r:array[1..10] OF REAL; END_STRUCT BEGIN END_DATA_BLOCK 注意 : 数据块中变量访问 :DBXXX. 变量名

12 3 SCL 程序结构定义 3 FC 定义 FUNCTION FCxxx : INT VAR_TEMP // Temporary Variables // Statement Section ; FCxxx := 100; END_FUNCTION FUNCTION FC150 : INT VAR_TEMP i:int; i:=10; FC150 := i; END_FUNCTION 注意 : 程序每行以 ; 结尾 ; 变量赋值以 := 表示

13 3 SCL 程序结构定义 3 FC 定义 定义输入 输出变量 VAR_INPUT VAR_OUTPUT VAR_IN_OUT FUNCTION FC150 : VOID VAR_INPUT i1:int; i2:int; VAR_OUTPUT out:int; out:=i1+i2; END_FUNCTION

14 3 SCL 程序结构定义 3 FB 定义 FUNCTION_BLOCK FBxxx VAR_TEMP // Temporary Variables VAR // Static Variables // Statement Section ; END_FUNCTION_BLOCK FUNCTION_BLOCK FB150 VAR_TEMP i:int; VAR v1:int; v2:real; v1:=v1+1; v2:=v2+2; END_FUNCTION_BLOCK

15 3 SCL 程序结构定义 3 FB 定义 FUNCTION_BLOCK FB150 VAR_TEMP i:int; VAR v1:int; v2:real; v1:=v1+1; v2:=v2+2; END_FUNCTION_BLOCK

16 4 SCL 程序结构控制 1 条件控制 IF a = b THEN // Statement Section_IF ; ELSIF a = c THEN // Statement Section_ELSIF ; ELSE // Statement Section_ELSE ; END_IF; CASE value OF 0..3 : // Statements_0..3 ; 8 : // Statements_8 ; ELSE: // Statements_ELSE ; END_CASE; 变量比较 :>,>=,<,<=,= 逻辑符号 :and,or,not

17 4 SCL 程序结构控制 1 循环控制 FOR Control Variable:= Start TO End BY Increment DO // Statement Section ; END_FOR; WHILE a = b DO // Statement Section ; END_WHILE; REPEAT // Statement Section ; UNTIL a = b END_REPEAT;

18 5 SCL 变量访问 1 内存变量与 IO 变量 直接访问 :M0.0,MW100,MD200 I0.0,IW100 q0.0,qw100 寻址访问 :M[i,j],MB[i],IW[i],QB[i],MD[i] 以上类型缺省为 BYTE,WORD,DWORD 类型, 如果需要 INT, DINT,REAL 需要提前在符号表中定义, 定义后可直接访问

19 5 SCL 变量访问 2 数据块变量 直接访问 :db100.dbx0.0, db100.dbw100,db100.dbd200 db100.dbx[i,j],db100.dbw[i],db100.dbd[i] 使用变量名访问 :db100.b,db100.i,db100.r,db100.arr[i] 建议使用 SCL 定义数据库, 再用变量名访问, 程序易读 内存变量和数据块中的变量属于全局变量, 即赋值后如果不改变 会一直保留原来的值

20 6 SCL 变量类型转换 SCL 要求类型相同才能赋值 word_to_int(word_var), int_to_word(int_var) word_to_dword(word_var), dword_to_word(dword_var) int_to_dint(int_var), dint_to_int(dint_var) int_to_real(int_var),real_to_int(real_var) dint_to_real(dint_var),real_to_dint(real_var) byte_to_word(byte_var),word_to_byte(word_var) byte_to_int(byte_var),int_to_byte(int_var) 变量类型转换函数属于系统关键字, 系统关键字与普通程序会有颜色区别, 转换函数如果颜色未发生变化, 仔细检查拼写或查询帮助

21 7 SCL 语句与梯形图对照 变量赋值 M400.1:=m400.0 M100.1:=not m100.0 Temp_int:=real_to_int(Temperature) 灵活运用变量类型转换函数, 一条语句替代多个梯形图命令 Temp_real:=int_to_real(word_to_int(iw40));

22 7 SCL 语句与梯形图对照 变量赋值 if (M100.0) then End_if M100.1:=true; if (M100.0) then End_if M100.1:=false;

23 7 SCL 语句与梯形图对照 上升沿 FUNCTION FC150 : BOOL VAR_INPUT in_bit:bool; VAR_IN_OUT tmp_bit:bool; IF (in_bit AND (NOT tmp_bit)) THEN FC150:=true; ELSE FC150:=false; END_IF; tmp_bit:=in_bit; END_FUNCTION

24 7 SCL 语句与梯形图对照 下降沿 FUNCTION FC151 : BOOL VAR_INPUT in_bit:bool; VAR_IN_OUT tmp_bit:bool; IF ((NOT in_bit) AND tmp_bit) THEN FC151:=true; ELSE FC151:=false; END_IF; tmp_bit:=in_bit; END_FUNCTION

25 7 SCL 语句与梯形图对照 逻辑判断 IF (m100.1) THEN int1:=10; END_IF; IF(Temp1<Temp2) THRN int1:=10; END_IF;

26 7 SCL 语句与梯形图对照 数学运算符号 加法 :SCL-> +, 要求类型相同梯形图 -> ADD_I,ADD_DI,ADD_R 减法 :SCL-> -, 要求类型相同梯形图 -> SUB_I,SUB_DI,SUB_R 乘法 :SCL-> *, 要求类型相同梯形图 -> MUL_I,MUL_DI,MUL_R 除法 :SCL-> /, 要求类型相同梯形图 -> DIV_I,DIV_DI,DIV_R 取余 :SCL-> mod, 整数梯形图 -> MOD_DI 其它数学函数类似 :SIN,COS,TAN,LN,LOG,ASIN,ACOS,ATAN

27 7 SCL 语句与梯形图对照 函数调用 直接用函数名称调用, 要求输入 输出参数名称写全 out_int:=limit(mn:=0,mx:=100,in:=in_int); M100.1:=FC151(in_bit:=m100.0,tmp_bit:=M100.2);

28 函数调用 7 SCL 语句与梯形图对照 FUNCTION FC151 : VOID VAR_INPUT in_bit:bool; VAR_IN_OUT tmp_bit:bool; VAR_OUTPUT out_bit:bool; IF ((NOT in_bit) AND tmp_bit) THEN out_bit:=true; ELSE out_bit:=false; END_IF; tmp_bit:=in_bit; END_FUNCTION FC151(in_bit:=m100.0,tmp_bit:=M100.2,out_bit:=M100.1);

29 8 SCL 示例 1 温度滤波处理 均值滤波 : 取最近 10 个温度采样值, 取 其平均值作为实际温度输出值, 减少表 面水等条件的干扰, 使测量温度更准确 定义 DB DATA_BLOCK DB150 // // 温度暂存数据 // STRUCT num:int; Temp:ARRAY[1..10] OF REAL; END_STRUCT BEGIN END_DATA_BLOCK

30 8 SCL 示例 1 温度滤波处理 FUNCTION FC150 : VOID VAR_TEMP i:int; add_temp:real; VAR_INPUT in_temp:real; VAR_OUTPUT out_temp:real; IF(in_temp>400.0) THEN db150.num:=db150.num+1; ELSE db150.num:=db150.num-1; END_IF; db150.num:=limit(mn:=0,mx:=11,in:=db150.num); IF(db150.num>=1 AND db150.num<=10) THEN db150.temp[db150.num]:=in_temp; END_IF; IF(db150.num>10) THEN FOR i:=1 TO 9 DO db150.temp[i]:=db150.temp[i+1]; END_FOR; db150.temp[10]:=in_temp; END_IF; IF(db150.num>5) THEN add_temp:=0.0; FOR i:=1 TO db150.num DO add_temp:=add_temp+db150.temp[i]; END_FOR; out_temp:=add_temp/int_to_real(db150.num); ELSE out_temp:=0.0; END_IF; END_FUNCTION

31 9 SCL 示例 2 阀门按次序延迟打开 冷前热检检测到信号, 依次延迟打开 每个阀门, 假设共 10 个阀门 定义 DB DATA_BLOCK DB160 STRUCT HMD_num:INT; valve_set:array[1..10] OF BOOL; END_STRUCT BEGIN END_DATA_BLOCK

32 9 SCL 示例 2 阀门按次序延迟打开 FUNCTION FC160 : VOID VAR_INPUT HMD:BOOL; enable:bool; VAR_TEMP i:int; IF(HMD) THEN db160.hmd_num:=db160.hmd_num+1; ELSE db160.hmd_num:=db160.hmd_num-1; END_IF; db160.hmd_num:=limit(mn:=0,mx:=500,in:=db160.hmd_num); IF(enable) THEN IF(db160.HMD_num>0 AND (db160.hmd_num MOD 10)=0) THEN db160.valve_set[db160.hmd_num/10]:=true; END_IF; ELSE FOR i:=1 TO 10 DO db160.valve_set[i]:=false; END_FOR; END_IF; END_FUNCTION

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

Beckhoff Image e

Beckhoff Image e Training for new users Overview IEC 61131-3 3rd edition 声明变量的数据类型一个变量名包含了一个数据的类型. 变量名是所要声明数据的地址. 变量在运行过程中值是可以变化的. 变量名数据类型初始值. 变量的地址我们不需要考虙. bstelleruntenlinks:bool:=true; 变量声明 变量的首字符可以是 字母 (abcd.) 或者下划线

More information

Microsoft PowerPoint - 5. 指针Pointers.ppt [兼容模式]

Microsoft PowerPoint - 5. 指针Pointers.ppt [兼容模式] 指针 Pointers 变量指针与指针变量 Pointer of a variable 变量与内存 (Variables and Memory) 当你声明一个变量时, 计算机将给该变量一个内存, 可以存储变量的值 当你使用变量时, 计算机将做两步操作 : - 根据变量名查找其对应的地址 ; - 通过地址对该地址的变量内容进行读 (retrieve) 或写 (set) 变量的地址称为变量的指针! C++

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

CHAPTER 1

CHAPTER 1 CHAPTER 1 1-1 System Development Life Cycle; SDLC SDLC Waterfall Model Shelly 1995 1. Preliminary Investigation 2. System Analysis 3. System Design 4. System Development 5. System Implementation and Evaluation

More information

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc TwinCAT 2001.12.11 TwinCAT 1. TwinCAT... 3 2.... 4... 4...11 3. TwinCAT PLC... 13... 13 PLC IEC 61131-3... 14 4. TwinCAT... 17... 17 5. TwinCAT... 18... 18 6.... 19 Maschine.pro... 19... 27 7.... 31...

More information

ebook14-4

ebook14-4 4 TINY LL(1) First F o l l o w t o p - d o w n 3 3. 3 backtracking parser predictive parser recursive-descent parsing L L ( 1 ) LL(1) parsing L L ( 1 ) L L ( 1 ) 1 L 2 L 1 L L ( k ) k L L ( 1 ) F i r s

More information

第一章.FIT)

第一章.FIT) 第 一 章 美 丽 触 手 可 及 一 些 天 生 好 动 的 懒 人 袁 根 本 静 不 下 心 去 美 容 院 做 护 理 袁 通 常 总 是 用 一 些 最 野 懒 冶 的 方 法 来 保 养 自 己 遥 比 如 下 飞 机 以 后 感 觉 头 发 很 乱 袁 就 用 手 当 梳 子 随 手 梳 两 下 曰 脸 上 很 干 袁 就 往 脸 上 涂 些 酸 奶 尧 牛 奶 或 者 蜂 蜜 噎 噎

More information

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析 最 有 利 標 作 業 程 序 實 務 分 析 交 通 部 採 購 稽 核 小 組 陳 秘 書 牧 民 日 期 :101 年 05 月 21 日 大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 幼 兒 組 指 定 曲 歌 詞 1. 兩 隻 老 虎 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 耳 朵 一 隻 沒 有 尾 巴 真 奇 怪 真 奇 怪 兩 隻 老 虎 兩 隻 老 虎 跑 得 快 跑 得 快 一 隻 沒 有 鼻 子 一 隻 沒 有 頭 髮 真 奇 怪 真 奇 怪 2. 小 毛 驢 我 有 一 頭 ( 隻 ) 小 毛 驢 我 從 來 也 不 騎 有 一 天

More information

Microsoft Word - 第3章.doc

Microsoft Word - 第3章.doc Java C++ Pascal C# C# if if if for while do while foreach while do while C# 3.1.1 ; 3-1 ischeck Test() While ischeck while static bool ischeck = true; public static void Test() while (ischeck) ; ischeck

More information

Microsoft Word - PHP7Ch01.docx

Microsoft Word - PHP7Ch01.docx PHP 01 1-6 PHP PHP HTML HTML PHP CSSJavaScript PHP PHP 1-6-1 PHP HTML PHP HTML 1. Notepad++ \ch01\hello.php 01: 02: 03: 04: 05: PHP 06:

More information

第一章 概论

第一章  概论 1 2 3 4 5 6 7 8 Linux 7.1 7.1.1 1 1 2 3 2 3 1 2 3 3 1 2 3 7.1.2 1 2 1 2 3 4 5 7.1.3 1 1 2 3 2 7.1 3 7.1.4 1 1 PCB 2 3 2 PCB PCB PCB PCB PCB 4 1 2 PSW 3 CPU CPU 4 PCB PCB CPU PCB PCB PCB PCB PCB PCB PCB

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

untitled

untitled 1-1 1-2 1-3 1-4 1-5 1-6 1-7 1-8 1-1-1 C int main(void){ int x,y,z; int sum=0; double avg=0.0; scanf("%d",&x) ; scanf("%d",&y) ; scanf("%d",&z) ; sum=x+y+z ; avg=sum/3.0; printf("%f\n",avg); system("pause");

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

Microsoft PowerPoint - 01_Introduction.ppt

Microsoft PowerPoint - 01_Introduction.ppt Hello, World C 程序设计语言 第 1 章章观其大略 孙志岗 sun@hit.edu.cn http://sunner.cn prf("hello,, world\n"); 超级无敌考考你 : 如何把 hello 和 world 分别打印在两行? 2004-12-19 A Tutorial Introduction 2 hello.c 打印华氏温度与摄氏温度对照表 计算公式 : C=(5/9)(

More information

《C语言程序设计》第2版教材习题参考答案

《C语言程序设计》第2版教材习题参考答案 教材 C 语言程序设计 ( 第 2 版 ) 清华大学出版社, 黄保和, 江弋编著 2011 年 10 月第二版 ISBN:978-7-302-26972-4 售价 :35 元 答案版本 本习题答案为 2012 年 2 月修订版本 一 选择题 1. 设已定义 int a, * p, 下列赋值表达式中正确的是 :C)p = &a A. *p = *a B. p = *a C.p = &a D. *p =

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

1 4 1.1 4 1.2..4 2..4 2.1..4 3.4 3.1 Java.5 3.1.1..5 3.1.2 5 3.1.3 6 4.6 4.1 6 4.2.6 5 7 5.1..8 5.1.1 8 5.1.2..8 5.1.3..8 5.1.4..9 5.2..9 6.10 6.1.10

1 4 1.1 4 1.2..4 2..4 2.1..4 3.4 3.1 Java.5 3.1.1..5 3.1.2 5 3.1.3 6 4.6 4.1 6 4.2.6 5 7 5.1..8 5.1.1 8 5.1.2..8 5.1.3..8 5.1.4..9 5.2..9 6.10 6.1.10 Java V1.0.1 2007 4 10 1 4 1.1 4 1.2..4 2..4 2.1..4 3.4 3.1 Java.5 3.1.1..5 3.1.2 5 3.1.3 6 4.6 4.1 6 4.2.6 5 7 5.1..8 5.1.1 8 5.1.2..8 5.1.3..8 5.1.4..9 5.2..9 6.10 6.1.10 6.2.10 6.3..10 6.4 11 7.12 7.1

More information

《C语言程序设计》教材习题参考答案

《C语言程序设计》教材习题参考答案 教材名称 : C 语言程序设计 ( 第 1 版 ) 黄保和 江弋编著清华大学出版社 ISBN:978-7-302-13599-9, 红色封面 答案制作时间 :2011 年 2 月 -5 月 一 选择题 1. 设已定义 int a, * p, 下列赋值表达式中正确的是 :C)p=&a 2. 设已定义 int x,*p=&x;, 则下列表达式中错误的是 :B)&*x 3. 若已定义 int a=1,*b=&a;,

More information

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 档 案 局 2016 年 度 部 门 预 算 1 目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 年 度 市 级 部 门 财 政 拨 款 支 出 预

More information

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 2015 年 度 部 门 决 算 报 表 ( 含 三 公 经 费 决 算 ) 2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 上 级 补 助 收 入

More information

Microsoft Word - ch04三校.doc

Microsoft Word - ch04三校.doc 4-1 4-1-1 (Object) (State) (Behavior) ( ) ( ) ( method) ( properties) ( functions) 4-2 4-1-2 (Message) ( ) ( ) ( ) A B A ( ) ( ) ( YourCar) ( changegear) ( lowergear) 4-1-3 (Class) (Blueprint) 4-3 changegear

More information

基于 SIMATIC IOT2040 的 Node-red S7 通信 1. 主要目标 基于 Node-red S7 通信, 实现 SIMATIC IOT2040 读写 Siemens S7-300/400/1200/1500 或 S7-200 Smart PLC 中的数据 2. Node-red

基于 SIMATIC IOT2040 的 Node-red S7 通信 1. 主要目标 基于 Node-red S7 通信, 实现 SIMATIC IOT2040 读写 Siemens S7-300/400/1200/1500 或 S7-200 Smart PLC 中的数据 2. Node-red 基于 SIMATIC IOT2040 的 Node-red S7 通信 1. 主要目标 基于 Node-red S7 通信, 实现 SIMATIC IOT2040 读写 Siemens S7-300/400/1200/1500 或 S7-200 Smart PLC 中的数据 2. Node-red 及 S7 节点介绍 2.1 Node-red Node-red 是一种用于以新的有趣的方式将硬件设备

More information

Microsoft PowerPoint - 8. 运算符重载 Operator Overloading.pptx

Microsoft PowerPoint - 8. 运算符重载 Operator Overloading.pptx 运算符重载 Operator Overloading class Point { public: ; double x_, y_; Why Operator Overloading? Point (double x =0, double y = 0):x_(x),y_(y) { int main(){ Point a(1., 2), b(3,4); Point c = a + b; return 0;

More information

序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典

序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典 序 文 藝 社 (Society of Chinese Literature) 在 去 年 暑 假 開 始 籌 措 成 立, 二 零 一 三 年 九 月 正 式 展 開 所 有 活 動 文 藝 社 成 立 的 目 的 旨 在 讓 學 生 學 習 欣 賞 歷 代 名 篇 名 作, 其 中 以 古 典 散 文 為 主 ; 開 拓 學 生 的 文 藝 視 角 和 創 作 平 台 ; 強 化 對 外 的 創

More information

Microsoft Word - 01.DOC

Microsoft Word - 01.DOC 第 1 章 JavaScript 简 介 JavaScript 是 NetScape 公 司 为 Navigator 浏 览 器 开 发 的, 是 写 在 HTML 文 件 中 的 一 种 脚 本 语 言, 能 实 现 网 页 内 容 的 交 互 显 示 当 用 户 在 客 户 端 显 示 该 网 页 时, 浏 览 器 就 会 执 行 JavaScript 程 序, 用 户 通 过 交 互 式 的

More information

Generated by Unregistered Batch DOC TO PDF Converter , please register! 浙江大学 C 程序设计及实验 试题卷 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:3

Generated by Unregistered Batch DOC TO PDF Converter , please register! 浙江大学 C 程序设计及实验 试题卷 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:3 浙江大学 C 程序设计及实验 试题卷 2002-2003 学年春季学期考试时间 : 2003 年 6 月 20 日上午 8:30-10:30 注意 : 答题内容必须写在答题卷上, 写在本试题卷上无效 一. 单项选择题 ( 每题 1 分, 共 10 分 ) 1. 下列运算符中, 优先级最低的是 A.

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

第5章修改稿

第5章修改稿 (Programming Language), ok,, if then else,(), ()() 5.0 5.0.0, (Variable Declaration) var x : T x, T, x,,,, var x : T P = x, x' : T P P, () var x:t P,,, yz, var x : int x:=2. y := x+z = x, x' : int x' =2

More information

CHAPTER VC#

CHAPTER VC# 1. 2. 3. 4. CHAPTER 2-1 2-2 2-3 2-4 VC# 2-5 2-6 2-7 2-8 Visual C# 2008 2-1 Visual C# 0~100 (-32768~+32767) 2 4 VC# (Overflow) 2-1 2-2 2-1 2-1.1 2-1 1 10 10!(1 10) 2-3 Visual C# 2008 10! 32767 short( )

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

《垓下歌》 項羽

《垓下歌》 項羽 1. 2. 3. 4. MM1 1 5. 6. 7. 8. MM1 2 9. ( ) 爲 10. 11. MM1 3 12. 13. 14. 15. 縧 16. MM1 4 17. 18. 19. MM1 5 20. 21. 22. 23. 24. 25. MM1 6 26. 27. 28. 29. 30. 31. MM1 7 32. 爲 33. 34. 35. 36. MM1 8 37. 38.

More information

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳 交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 規 則 一 考 生 應 於 考 試 當 日 攜 帶 國 民 身 分 證 正 本 或 其 他 足 資 證 明 身 分 之 證 件 於 上 午 8 時 50 分 前 至 本 所 行 政 大 樓 2 樓 道 安 教 室 入 場 考 試, 未 攜 帶 者 一 律 不 得 參 加 考 試 ; 冒 名

More information

2.??,,,,, ;,,,,,,,, 3.?,,?,?,

2.??,,,,, ;,,,,,,,, 3.?,,?,?, 1.?? :,,,, : ( 1),, ( ), 5 : ( 2),,,, : ( ),,, ( 3) 2.??,,,,, ;,,,,,,,, 3.?,,?,?, ,,,, 250 :, 4.?,,,,,,,,? ( 1),,,, ( 2),,,, ,,, ( 3),, ( 4) : ;,,,,, ( 5),,,, 5.? ,,,,,,,,,,,,, 6.?, :,,, ;,,,,, ;, : 7.?,?,,,,

More information

宜蘭縣風景區管理所五峰旗風景特定風景區開放行動咖啡車作業投標須知

宜蘭縣風景區管理所五峰旗風景特定風景區開放行動咖啡車作業投標須知 宜 蘭 縣 礁 溪 鄉 湯 圍 溝 公 園 委 託 經 營 管 理 契 約 書 立 契 約 書 人 宜 蘭 縣 政 府 ( 以 下 簡 稱 甲 方 ) 為 充 分 利 用 湯 圍 溝 公 園 空 間 效 益, 並 提 昇 遊 憩 服 務 品 質, 特 委 託 ( 以 下 簡 稱 乙 方 ) 經 營 管 理, 特 訂 定 本 契 約, 契 約 內 容 如 后 : 第 一 條 : 一 契 約 文 件 及

More information

第 二 十 七 章 一 夜 苦 熬 第 二 十 八 章 租 房 同 居 第 二 十 九 章 二 人 世 界 第 三 十 章 取 消 面 试 第 三 十 一 章 中 暑 卧 床 第 三 十 二 章 找 到 工 作 第

第 二 十 七 章 一 夜 苦 熬 第 二 十 八 章 租 房 同 居 第 二 十 九 章 二 人 世 界 第 三 十 章 取 消 面 试 第 三 十 一 章 中 暑 卧 床 第 三 十 二 章 找 到 工 作 第 商 场 风 月 之 新 欢 旧 爱 七 寸 明 月 / 著 第 一 章 凌 晨 惊 梦... 4 第 二 章 前 台 MM... 7 第 三 章 陪 赌 陪 嫖... 11 第 四 章 淫 声 荡 语... 15 第 五 章 孤 儿 报 恩... 19 第 六 章 一 招 断 腕... 21 第 七 章 惹 毛 警 察... 26 第 八 章 痛 扁 犯 人... 29 第 九 章 薄 惩 邢 科...

More information

12 12 1 30 40 20 30 10 20 6 10 10 2 34.8 56.1 18.0 20.9 3.8 0.4 17.9 18.3 11.7 9.1 9.1 8.3 9.2 6.3 10.8 8.0 3 1949 1952 1957 1965 1975 1980 1985 100 100 100 100 100 100 100 11.0 19.4 26.1 26.2

More information

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历 丽 江 古 城 托 管 挂 牌 可 行 性 分 析 报 告 上 海 文 化 产 权 交 易 所 申 江 文 化 商 品 运 营 服 务 平 台 二 零 一 六 年 七 月 报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在

More information

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮 第 一 章 女 生 安 全 2009 年 11 月 2 日 深 夜,51 岁 的 农 民 李 某 翻 墙 进 入 某 中 学 行 窃, 他 悄 悄 来 到 一 小 屋 前, 并 无 所 获 见 屋 内 3 名 少 女 都 已 熟 睡, 便 生 邪 念, 欲 行 不 轨 3 少 女 慷 醒 后, 遭 李 某 的 殴 打 和 猥 亵, 其 中 一 名 16 岁 女 生 乘 机 溜 出 房 外, 将 房

More information

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材 104 學 年 度 高 級 中 等 學 校 特 色 招 生 職 業 類 科 甄 選 入 學 內 容 審 查 表 學 校 名 稱 ( 全 銜 ) 私 立 治 平 高 中 日 期 104 年 4 月 25 日 ( 六 ) 科 班 名 資 訊 科 特 色 班 項 目 基 本 焊 接 工 具 操 作 辨 識 基 本 手 工 具 設 備 一 可 聯 接 性 : 術 科 命 題 規 範 命 題 內 容 基 本

More information

美 国 研 究

美 国 研 究 1991 2 1991 3 1991 4 1991 5 1991 6 1991 7 1991 8 1991 9 1991 10 1991 11 1991 12 1991 13 1991 14 1991 15 1991 16 1991 17 1991 18 1991 19 1991 20 1991 21 1991 22 1991 23 1991 24 1991 25 1991 26 1991 27 1991

More information

Microsoft PowerPoint - 07 派生数据类型

Microsoft PowerPoint - 07 派生数据类型 能源与动力工程学院 目录 派生类型 陈 斌 固有数据类型 数值型 (numerical) 整型 INTEGER 实型 REAL 复数型 COMPLEX 非数值型 字符型 CHARACTER 逻辑型 ( 布尔型 )LOGICAL 自定义数据类型 ( 派生类型, derived type) 派生类型是指用户利用 Fortran 系统内部类型, 如整型 实型 复数型 逻辑型 字符型等的组合自行创建出一个新的数据类型,

More information

C/C++语言 - 运算符、表达式和语句

C/C++语言 - 运算符、表达式和语句 C/C++ Table of contents 1. 2. 3. 4. C C++ 5. 6. 7. 1 i // shoe1.c: # include # define ADJUST 7. 64 # define SCALE 0. 325 int main ( void ) { double shoe, foot ; shoe = 9. 0; foot = SCALE * shoe

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 1 章程序设计和 C 语言 1.1 什么是计算机程序 1.2 什么是计算机语言 1.3 C 语言的发展及其特点 1.4 最简单的 C 语言程序 1.5 运行 C 程序的步骤与方法 1.6 程序设计的任务 1.1 什么是计算机程序 程序 : 一组计算机能识别和执行的指令 只要让计算机执行这个程序, 计算机就会自动地 有条不紊地进行工作 计算机的一切操作都是由程序控制的, 离开程序, 计算机将一事无成

More information

试卷代号 ~1075 座位号 E 口 国家开放大学 ( 中央广播电视大学 )20]5 年秋季学期 " 开放本科 " 期末考试 C 十十语言程序设计 试题 同二二十斗 2016 年 1 月 巴叫一 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new D. l

试卷代号 ~1075 座位号 E 口 国家开放大学 ( 中央广播电视大学 )20]5 年秋季学期  开放本科  期末考试 C 十十语言程序设计 试题 同二二十斗 2016 年 1 月 巴叫一 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new D. l 试卷代号 ~1075 座位号 E 口 国家开放大学 ( 中央广播电视大学 )20]5 年秋季学期 " 开放本科 " 期末考试 C 十十语言程序设计 试题 同二二十斗 2016 年 1 月 巴叫一 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new D. long 2. 在每个 c++ 程序中都必须包含有这样一个函数, 该函数的函数名为 ( ) A. main

More information

untitled

untitled 1 Outline 數 料 數 數 列 亂數 練 數 數 數 來 數 數 來 數 料 利 料 來 數 A-Z a-z _ () 不 數 0-9 數 不 數 SCHOOL School school 數 讀 school_name schoolname 易 不 C# my name 7_eleven B&Q new C# (1) public protected private params override

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

概述

概述 OPC Version 1.6 build 0910 KOSRDK Knight OPC Server Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOS_Init...5 2.2.2 KOS_InitB...5 2.2.3

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

OOP with Java 通知 Project 2 提交时间 : 3 月 14 日晚 9 点 另一名助教 : 王桢 学习使用文本编辑器 学习使用 cmd: Power shell 阅读参考资料

OOP with Java 通知 Project 2 提交时间 : 3 月 14 日晚 9 点 另一名助教 : 王桢   学习使用文本编辑器 学习使用 cmd: Power shell 阅读参考资料 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 2 提交时间 : 3 月 14 日晚 9 点 另一名助教 : 王桢 Email: 51141201063@ecnu.cn 学习使用文本编辑器 学习使用 cmd: Power shell 阅读参考资料 OOP with Java Java 类型 引用 不可变类型 对象存储位置 作用域 OOP

More information

KPCI KPCI-815 TEL: Fax:

KPCI KPCI-815 TEL: Fax: KPCI-815 1 KPCI-815 Ver 2.0 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 2 1 2 KPCI-815 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 3 KPCI-815 KPCI-815 PCI

More information

untitled

untitled SIMATIC NET CP 243-1 A B C D SIMATIC NET 10/2002 J31069-D0428-U001-A-7618 2002 Postfach 48 48 D-90327 Nürnberg Siemens Aktiengesellschaft J31069-D0428-U001-A1-7618 ii SIMATIC SIMATIC NET SINEC SIMATIC

More information

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double x) { d

More information

Danger Warning Caution Note SIMATIC SIMATIC HMI SIMATIC NET D A5E

Danger Warning Caution Note SIMATIC SIMATIC HMI SIMATIC NET D A5E SIMATIC STEP 7 V5.1 1 2 3 4 5 6 7 8 9 10 STL 11 12 13 14 15 CPU 16 17 18 19 S7- PLCSIM 20 21 22 23 M7 24 25 Danger Warning Caution Note SIMATIC SIMATIC HMI SIMATIC NET 1998 1998 4848 D- 90327 A5E00069873

More information

untitled

untitled XP248 1 XP248 XP248 DCS PLC SCnet SCnet DCS SCnet DCS 1.1 XP248 Modbus HostLink Modbus XP248 4 DB25 XP248 MODBUS XP248 SCControl XP248 4 RS232 RS485 4 32 XP248 COM0-COM1 COM2-COM3 1200 19200bit/s 5 8 1

More information

Microsoft PowerPoint - string_kruse [兼容模式]

Microsoft PowerPoint - string_kruse [兼容模式] Strings Strings in C not encapsulated Every C-string has type char *. Hence, a C-string references an address in memory, the first of a contiguous set of bytes that store the characters making up the string.

More information

<4D6963726F736F667420576F7264202D20B9E3B6ABB9E3D1C5D6D0D1A7B8B0C8D5BFC6BCBCBDBBC1F7BFBCB2ECB1A8B8E6>

<4D6963726F736F667420576F7264202D20B9E3B6ABB9E3D1C5D6D0D1A7B8B0C8D5BFC6BCBCBDBBC1F7BFBCB2ECB1A8B8E6> 广 东 省 中 学 生 赴 日 科 技 考 察 团 考 察 日 记 2015 年 2 月 8 日 -2 月 15 日, 由 广 东 广 雅 中 学 的 10 位 同 学 及 广 东 韶 关 乳 源 高 中 的 10 位 同 学 和 4 位 带 队 老 师 组 成 的 广 东 省 中 学 生 赴 日 科 技 考 察 团 到 日 本 爱 知 县 进 行 了 为 期 8 天 的 考 察 活 动 本 次 考

More information

概述

概述 OPC Version 1.8 build 0925 KOCRDK Knight OPC Client Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOC_Init...5 2.2.2 KOC_Uninit...5 2.3...5

More information

坐 公 車 好 處 多 得 獎 者 : 李 宥 萱 指 導 老 師 : 陳 淑 鈴 我 家 住 在 鄉 下, 離 市 區 有 段 距 離 每 個 禮 拜 三 和 禮 拜 六 我 都 要 到 市 區 補 習, 以 前 都 是 媽 媽 特 地 從 大 老 遠 的 地 方 開 車 回 來 載 我 這 實

坐 公 車 好 處 多 得 獎 者 : 李 宥 萱 指 導 老 師 : 陳 淑 鈴 我 家 住 在 鄉 下, 離 市 區 有 段 距 離 每 個 禮 拜 三 和 禮 拜 六 我 都 要 到 市 區 補 習, 以 前 都 是 媽 媽 特 地 從 大 老 遠 的 地 方 開 車 回 來 載 我 這 實 富 有 的 標 準 得 獎 者 : 朱 庭 萱 指 導 老 師 : 陳 怡 君 有 一 種 付 出, 如 工 蜂 般 默 默 奉 獻 自 己 的 一 生, 沒 有 怨 言 ; 有 一 種 付 出, 如 蚯 蚓 般 不 受 重 視, 沒 有 掌 聲, 卻 不 能 沒 有 ; 有 一 種 付 出, 如 落 葉 班 滋 養 大 地, 不 求 回 報, 那 就 是 無 私 的 貢 獻 一 般 人 總 是 以

More information

! "#$% & ())*! ++, +- +.)! ++ ())* / 0!!""#!

! #$% & ())*! ++, +- +.)! ++ ())* / 0!!#! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

新・解きながら学ぶJava

新・解きながら学ぶJava 481! 41, 74!= 40, 270 " 4 % 23, 25 %% 121 %c 425 %d 121 %o 121 %x 121 & 199 && 48 ' 81, 425 ( ) 14, 17 ( ) 128 ( ) 183 * 23 */ 3, 390 ++ 79 ++ 80 += 93 + 22 + 23 + 279 + 14 + 124 + 7, 148, 16 -- 79 --

More information

S7-SCL编程

S7-SCL编程 S7-SCL 编程 Programming with S7-SCL Getting Started Edition (2008 年 7 月 ) https://support.industry.siemens.com/cs/cn/zh/view/109481384 摘 要本文档主要用于讨论与 S7-SCL 编程相关的以下问题 : ² 编程软件的基本信息 ² 基本概念讲解 ² 基本使用讲解 ² 用于示例工程的简单应用例子

More information

没有幻灯片标题

没有幻灯片标题 指针作为函数参数 : 原因 : 1 需要修改一个或多个值,( 用 return 语句不能解决问题 ) 2 执行效率的角度 使用方法 : 在函数原型以及函数首部中需要声明能够接受指针值的形参, 具体的写法为 : 数据类型 * 形参名 如果有多个指针型形参, 则用逗号分隔, 例如 : void swap(int *p1, int *p2) 它说明了形参 p1 p2 是指向整型变量的指针 在函数调用时,

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

试卷代号 :1075 座位号 rn 国家开放大学 ( 中央广播电视大学 )2015 年秋季学期 " 开放本科 " 期末考试 c+ 十语言程序设计试题 2016 年 1 月 t 问一 Urr-f 斗 士 1 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new

试卷代号 :1075 座位号 rn 国家开放大学 ( 中央广播电视大学 )2015 年秋季学期  开放本科  期末考试 c+ 十语言程序设计试题 2016 年 1 月 t 问一 Urr-f 斗 士 1 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new 试卷代号 :1075 座位号 rn 国家开放大学 ( 中央广播电视大学 )2015 年秋季学期 " 开放本科 " 期末考试 c+ 十语言程序设计试题 2016 年 1 月 t 问一 Urr-f 斗 士 1 1. 下面的保留字 ( ) 不能作为函数的返回类型 A. void B. int C. new D. long 2. 在每个 C 十 + 程序中都必须包含有这样一个函数, 该函数的函数名为 ) A.main

More information

新・解きながら学ぶC言語

新・解きながら学ぶC言語 330!... 67!=... 42 "... 215 " "... 6, 77, 222 #define... 114, 194 #include... 145 %... 21 %... 21 %%... 21 %f... 26 %ld... 162 %lf... 26 %lu... 162 %o... 180 %p... 248 %s... 223, 224 %u... 162 %x... 180

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 第 2 章 Java 语言基础 通过本章的实践, 要掌握 Java 中的标识符 关键字 常量, 熟练掌握算术 关 系 逻辑 条件 赋值 位运算符的使用, 掌握简单顺序结构的程序设计 2.1 典型习题解答 2.1 Java 中怎样进行注释? 解答 Java 语言中的注释有 3 种形式 : (1) 单行 : // (2) 多行 : /* */ (3) 文档注释 : /** */ 第三种形式是第二种形式的变形,

More information

KPCI KPCI-815

KPCI KPCI-815 KPCI-815 1 KPCI-815 Ver 2.0 KPCI-815 2 1 2 KPCI-815 KPCI-815 3 KPCI-815 KPCI-815 PCI 8 KPCI-815 I/O 37 D L H L 12 H 16 2.1 PCI 32 33MHz 132MBS 2.2 32 / 16 0V~5V0V~10V*5V10V 10M 100K A/D A/D / 0.2%FRS 2.3

More information

新版 明解C++入門編

新版 明解C++入門編 511!... 43, 85!=... 42 "... 118 " "... 337 " "... 8, 290 #... 71 #... 413 #define... 128, 236, 413 #endif... 412 #ifndef... 412 #if... 412 #include... 6, 337 #undef... 413 %... 23, 27 %=... 97 &... 243,

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

0627學校內控流程圖完整版0627

0627學校內控流程圖完整版0627 台 中 市 新 民 高 級 中 學 內 部 控 制 制 度 流 程 圖 中 華 民 國 100 年 7 月 常 用 流 程 圖 說 明 : 符 號 名 稱 意 義 準 備 作 業 (Start) 流 程 圖 開 始 處 理 (Process) 處 理 程 序 決 策 (Decision) 不 同 方 案 選 擇 終 止 (END) 流 程 圖 終 止 路 徑 (Path) 指 示 路 徑 方 向 文

More information

结构文本 (ST) TM246 简介 前提 培训模块 : 软件 : 硬件 : TM0 Automation Studio 基础 TM Automation Studio 在线通讯 TM 自动化运行 (Runtime) 系统 TM Automation Studio 诊断 无 无 结构文本 (ST) TM 目录 简介. 目的 结构文本特点. 概述. 特点. 可能性 结构文本基础. 表达式. 赋值.

More information

实验报告 实验题目 Java 实验 (1) 实验目的 学习 Java 语言的编程 实验准备 直接从网上或从上传作业的网站上下载并安装 JDK

实验报告 实验题目 Java 实验 (1) 实验目的 学习 Java 语言的编程 实验准备 直接从网上或从上传作业的网站上下载并安装 JDK 实验题目 Java 实验 (1) 实验目的 学习 Java 语言的编程 实验准备 直接从网上或从上传作业的网站上下载并安装 JDK http://www.oracle.com/technetwork/cn/java/javase/downloads/jdk8-downloads-2133151-zhs.html 预备知识 (1) 常量定义 final int LEVEL_NUM = 1000; (2)

More information

ebook50-15

ebook50-15 15 82 C / C + + Developer Studio M F C C C + + 83 C / C + + M F C D L L D L L 84 M F C MFC DLL M F C 85 MFC DLL 15.1 82 C/C++ C C + + D L L M F C M F C 84 Developer Studio S t u d i o 292 C _ c p l u s

More information

第二章.FIT)

第二章.FIT) 第 你 的 肌 肤 状 况 如 何 钥 你 平 常 所 用 的 护 肤 方 法 正 确 吗 钥 爱 是 女 人 的 天 性 袁 所 以 女 人 们 总 是 想 方 设 法 地 令 自 己 变 更 尧 更 动 人 遥 是 护 肤 方 法 不 当 也 会 造 成 相 反 效 果 的 哦 遥 看 看 我 们 的 懒 人 保 养 大 计 袁 内 容 超 全 尧 超 实 用 的 哦 袁 帮 你 全 面 保 护

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

实验目的 (1) 熟练掌握顺序 分支 循环三种结构 (2) 会使用流程控制结构编写程序 第三章程序的流程控制 实验要求 (1) 掌握 if-else swith-case 的使用 (2) 掌握 while do-while for 的使用 (3) 掌握分支嵌套和循环嵌套 (4) 分析理解如何避免死循

实验目的 (1) 熟练掌握顺序 分支 循环三种结构 (2) 会使用流程控制结构编写程序 第三章程序的流程控制 实验要求 (1) 掌握 if-else swith-case 的使用 (2) 掌握 while do-while for 的使用 (3) 掌握分支嵌套和循环嵌套 (4) 分析理解如何避免死循 实验目的 (1) 熟练掌握顺序 分支 循环三种结构 (2) 会使用流程控制结构编写程序 第三章程序的流程控制 实验要求 (1) 掌握 if-else swith-case 的使用 (2) 掌握 while do-while for 的使用 (3) 掌握分支嵌套和循环嵌套 (4) 分析理解如何避免死循环 实验范例 系统常用类 : 字符串类 (String) a) 从字符串 s 中截取一个字符串方法 s.substring()

More information

c_cpp

c_cpp C C++ C C++ C++ (object oriented) C C++.cpp C C++ C C++ : for (int i=0;i

More information

untitled

untitled AdvanTrol-Pro 1...1-1 1.1...1-1 1.2...1-2 1.3...1-3 1.3.1...1-3 1.3.2...1-3 1.3.3 FBD...1-11 1.3.4 LD...1-17 1.3.5 SFC...1-26 1.3.6 ST...1-35 1.4...1-58 1.4.1...1-58 1.4.2...1-58 1.4.3...1-61 1.4.4...1-69

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

SSA Form SSA Form Static Single Assignment Form Å ê «ùxr y fâ Ÿx ùxnº fâÿx ³ ø ± Í r ± º g 1) SSA f f v q «un q ø ñ qfâÿx f f v q ø i ²q øfq v ü Ø v i

SSA Form SSA Form Static Single Assignment Form Å ê «ùxr y fâ Ÿx ùxnº fâÿx ³ ø ± Í r ± º g 1) SSA f f v q «un q ø ñ qfâÿx f f v q ø i ²q øfq v ü Ø v i SSA Form SSA Form Static Single Assignment Form Å ê «ùxr y fâ Ÿx ùxnº fâÿx ³ ø ± Í r ± º g 1) SSA f f v q «un q ø ñ qfâÿx f f v q ø i ²q øfq v ü Ø v i v j, i j, d yÿr ü q 1 v := v i := v := v j := 1

More information

ExcelUtility 类库使用说明 ( 续 ) 开发 / 设计 : 左文俊 第一个新增功能, 列宽自适应, 当超过 30 个字符则将单元格内容设为换行 任意一个无模板的导出方法均支持该功能, 示例代码如下 : /// <summary> /// 测试方法

ExcelUtility 类库使用说明 ( 续 ) 开发 / 设计 : 左文俊 第一个新增功能, 列宽自适应, 当超过 30 个字符则将单元格内容设为换行 任意一个无模板的导出方法均支持该功能, 示例代码如下 : /// <summary> /// 测试方法 ExcelUtility 类库使用说明 ( 续 ) 开发 / 设计 : 左文俊 第一个新增功能, 列宽自适应, 当超过 0 个字符则将单元格内容设为换行 任意一个无模板的导出方法均支持该功能, 示例代码如下 : 0 /// 测试方法 : 测试将 DataTable 导出到 EXCEL, 无模板 public void TestExportToExcelByDataTable() string excelpath

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information