金門大學資訊工程系 102 學年度下學期 系統程式期中考 出題者 : 陳鍾誠 考生姓名 : 學號 : 得分 : 以下題目均按學號規則推算出指定的題數來做, 學號為奇數者做奇數題, 偶數者做偶數題, 做錯題目則該題不計分, 共 99 分,1 分奉送! 名詞解釋 : 每題 3 分, 做指定的 6 題,

Size: px
Start display at page:

Download "金門大學資訊工程系 102 學年度下學期 系統程式期中考 出題者 : 陳鍾誠 考生姓名 : 學號 : 得分 : 以下題目均按學號規則推算出指定的題數來做, 學號為奇數者做奇數題, 偶數者做偶數題, 做錯題目則該題不計分, 共 99 分,1 分奉送! 名詞解釋 : 每題 3 分, 做指定的 6 題,"

Transcription

1 金門大學資訊工程系 102 學年度下學期 系統程式期中考 出題者 : 陳鍾誠 考生姓名 : 學號 : 得分 : 以下題目均按學號規則推算出指定的題數來做, 學號為奇數者做奇數題, 偶數者做偶數題, 做錯題目則該題不計分, 共 99 分,1 分奉送! 名詞解釋 : 每題 3 分, 做指定的 6 題, 共 18 分 1. 目的檔 (object file) : 編譯或組譯後輸出的檔案, 通常包含機器碼與修改描述資訊, 像是函式庫 執行檔等都是以目的檔形式存在的 2. objdump : GNU 的目的檔傾印工具, 可以用來列出目的檔資訊並進行反組譯動作 3. 動態連結 (Dynamic Linking) : 在執行時才進行連結動作的一種運作機制, 這讓沒執行到的函式庫可以不需要被載入, 而且很多個程式可以共用一個函式庫, 在記憶體內只需要存在一份, 不需重複 4. 連結器 (Linker) : 用來將很多目的檔連結成一個執行檔或函式庫的工具 5. 組合語言 (Assembly Language) : 一種直接對應到機器碼的文字型語言, 寫完後可透過組譯器轉為目的檔 執行檔或機器碼 6. 假指令 (Pseudo Instruction) : 組合語言中, 不是真正對應機器指令的命令, 稱為假指令, 通常是用來提供資訊給組譯器, 作為組譯指引用的 7. make : make 是 GNU 的專案建置工具, 可用來解譯 Makefile 檔案並進行大型專案的自動建置工作 8. gcc : 開源組織 GNU 所推出的 c 語言編譯器, 包含一整套編譯連結工具 9. 暫存器 (register) : CPU 當中用來儲存可直接進行運算資料的地方, 有些暫存器會有特殊用途, 例如程式計數器或連結暫存器等 10. 算術邏輯單元 (ALU) : CPU 當中用來進行運算的核心單元, 通常可以用來進行加減乘除移位或邏輯等等運算 11. 程式計數器 (PC, Program Counter) : 用來儲存擷取指令位址的暫存器, 通常也是用來作相對定址的原點 12. 連結暫存器 (LR, Link Register) : 在副程式呼叫時, 可以用來儲存返回位址的暫存器, 再呼叫返回指令 時可以回到副程式後, 讓程式繼續正常執行的暫存器 簡答題 : 每題 5 分, 做指定的 4 題, 共 20 分 1. 請畫出一顆 CPU 的架構示意圖, 並說明其中各個元件的功用? 以 CPU0 為例,R0 到 R15 為暫存器單元, 另有輸出入暫存器與 ALU, 以及控制線路所形成的控制單元等 3. 請說明組譯器第一階段的功能? 第一階段 : 計算指令位址, 並且記住所有符號的位址, 建立符號表 4. 請說明組譯器第二階段的功能? 第二階段 : 將組合語言指令轉換成機器碼, 並進行資料編碼的動作 2. 請畫出電腦的馮紐曼架構, 並說明其中各個元件的功用? 記憶體 Memory: 儲存程式和資料, 以供 CPU 讀取或寫入 CPU : 電腦指令運作的核心, 可以解讀指令並執行對應 1

2 的動作 輸出入 : 包含輸出裝置 ( 螢幕 硬碟...) 輸入裝置 ( 鍵盤 滑鼠 硬碟...) 與輸出入控制器 ( 介面卡,...), 讓 CPU 可以達成輸出入動作 匯流排 BUS: 連接 CPU, Memory, IO Device 的線路, 可細分為 位址 資料與控制 等三種線路 5. 請寫出 XOR R1, R2, R3 的機器碼 (16 進位寫法 ), 並說明其編碼的原理? 7. 請舉例說明 CPU0 中跳耀指令 JMP 的運作原理 查表可知 XOR 為 A 格式, 且 OP 代碼為 1A, 因此編碼如下 : OP Ra, Rb, Rc, Cx XOR R1, R2, R3 1A 請寫出 SHL R1, R2, 4 的機器碼 (16 進位寫法 ), 並說明其編碼的原理? 查表可知 XOR 為 A 格式, 且 OP 代碼為 1E, 因此編碼如下 : OP Ra, Rb, Rc, Cx SHL R1, R2, 4 1A

3 3 8. 請舉例說明 CPU0 中儲存指令 ST 的運作原理

4 考生姓名 : 學號 : 程式題 ( 請以 CPU0 的組合語言撰寫 ): 每題 10 分, 做指定的 4 題, 共 40 分 1. 請寫出一個組合語言程式, 可以將變數 X, Y 的相加後放到變數 Z 中 3. 請寫出一個組合語言程式, 可以取得變數 X, Y 中較小的值放入變數 min 中 LD R2, Y ADD R3, R1, R2 ST R3, Z Y: WORD 5 Z: WORD 0 2. 請寫出一個組合語言程式, 可以將變數 X 的內容加 10 後放回 X 中 LDI R2, 10 ADD R3, R1, R2 ST R3, X LD R2, Y CMP R1, R2 JLT ELSE ST R2, min JMP EXIT ELSE: ST R1, min EXIT: Y: WORD 5 min: WORD 0 4. 請寫出一個組合語言程式, 可以取得變數 X, Y 中較大的值放入變數 max 中 LD R2, Y CMP R1, R2 JGT ELSE ST R2, min JMP EXIT ELSE: ST R1, min EXIT: Y: WORD 5 min: WORD 0 5. 請寫出一個組合語言程式, 說明如何透過暫存器傳遞參數 下列程式透過暫存器 R1 將參數傳給 double, 並且也透過 R1 取得傳回的兩倍值 CALL double ST R1, Y double: ADD R1, R1, R1 Y: WORD 0 6. 請寫出一個 組合語言副程式, 可以設定 R1 為 3 R2 LD R13, SPTR 7. 請寫出一個組合語言程式, 說明如何透過堆疊傳遞參數 下列程式先設定好堆疊後, 可以透過堆疊傳入 x, 然後在 f 函數裏取出候在加上五, 最後放在 R1 傳回 LD R13, StackEndPtr LD R2, x PUSH R2 CALL f ST R1, y x: WORD 1 y: RESW 1 Stack: RESW 100 StackEnd: StackEndPtr: StackEnd f: POP R2 LDI R4, 5 4

5 PUSH R14 LDI R2, 3 CALL Power3 POP R14 STACK: WORD 0 SPTR: WORD STACK ADD R1, R2, R4 ST R1, r r: RESW 1 8. 請寫出一個組合語言程式, 說明如何透過堆疊傳遞參數 Power3: LDI R4, 1 ; R4 初始值為 1 LDI R1, 1 ; R1 初始值為 1 LDI R3, 3 ; R3 是常數 3 LDI R5, 1 ; R5 是常數 1 LOOP: CMP R4, R2 ; (R4 < R2)? MUL R1, R1, R3 ; R1=R1*R3 ADD R4, R4, R5 ; R4=R4+1 JLT LOOP ; goto LOOP EXIT: 請寫出下列程式的位址欄與機器碼 ( 每列 3 分, 位址欄 1 分, 機器碼 2 分, 做指定的 7 列, 共 21 分 ) 題號位址欄組合語言機器碼 C C C LDI R1, 1 LD R2, aptr LDI R4, 1 FOR: LDB R3, [R2] CMP R3, R0 JEQ EXIT MUL R4, R4, R3 ADD R2, R2, R1 JMP FOR EXIT: ST R4, f a: BYTE 3, 2, 7, 6, 8, 5, 0 f: WORD 0 aptr: WORD a F002F C FFFFE8 014F000B 2C C 5

6 類型格式指令 OP 說明語法語意 載入儲存 運算指令 跳躍指令 堆疊指令 L LD 00 載入 word LD Ra, [Rb+Cx] Ra [Rb+ Cx] L ST 01 儲存 word ST Ra, [Rb+ Cx] Ra [ Rb+ Cx] L LDB 02 載入 byte LDB Ra, [Rb+ Cx] Ra (byte)[rb+ Cx] L STB 03 儲存 byte STB Ra, [Rb+ Cx] Ra (byte)[rb+ Cx] A LDR 04 LD 的暫存器版 LDR Ra, [Rb+Rc] Ra (byte)[rb+ Rc] A STR 05 ST 的暫存器版 STR Ra, [Rb+Rc] Ra [ Rb+ Rc] A LBR 06 LDB 的暫存器版 LBR Ra, [Rb+Rc] Ra (byte)[rb+ Rc] A SBR 07 STB 的暫存器版 SBR Ra, [Rb+Rc] Ra (byte)[rb+ Rc] L LDI 08 立即載入 LDI Ra, Rb+Cx Ra Rb + Cx A CMP 10 比較 CMP Ra, Rb SW Ra >=< Rb A MOV 12 移動 MOV Ra, Rb Ra Rb A ADD 13 加法 ADD Ra, Rb, Rc Ra Rb+Rc A SUB 14 減法 SUB Ra, Rb, Rc Ra Rb-Rc A MUL 15 乘法 MUL Ra, Rb, Rc Ra Rb*Rc A DIV 16 除法 DIV Ra, Rb, Rc Ra Rb/Rc A AND 18 邏輯 AND AND Ra, Rb, Rc Ra Rb and Rc A OR 19 邏輯 OR OR Ra, Rb, Rc Ra Rb or Rc A XOR 1A 邏輯 XOR XOR Ra, Rb, Rc Ra Rb xor Rc A ROL 1C 向左旋轉 ROL Ra, Rb, Cx Ra Rb rol Cx A ROR 1D 向右旋轉 ROR Ra, Rb, Cx Ra Rb ror Cx A SHL 1E 向左移位 SHL Ra, Rb, Cx Ra Rb << Cx A SHR 1F 向右移位 SHR Ra, Rb, Cx Ra Rb >> Cx J JEQ 20 跳躍 ( 相等 ) JEQ Cx if SW(=) PC PC+Cx J JNE 21 跳躍 ( 不相等 ) JNE Cx if SW(!=) PC PC+Cx J JLT 22 跳躍 ( < ) JLT Cx if SW(<) PC PC+Cx J JGT 23 跳躍 ( > ) JGT Cx If SW(>) PC PC+Cx J JLE 24 跳躍 ( <= ) JLE Cx if SW(<=) PC PC+Cx J JGE 25 跳躍 ( >= ) JGE Cx If SW(>=) PC PC+Cx J JMP 26 跳躍 ( 無條件 ) JMP Cx PC PC+Cx J SWI 2A 軟體中斷 SWI Cx LR PC; PC Cx J CALL 2B 跳到副程式 CALL Cx LR PC; PC PC+Cx J 2C 返回 PC LR A PUSH 30 推入 word PUSH Ra SP-=4; [SP] = Ra; A POP 31 彈出 word POP Ra Ra = [SP]; SP+=4; A PUSHB 32 推入 byte PUSHB Ra SP--; [SP] = Ra; (byte) A POPB 33 彈出 byte POPB Ra Ra = [SP]; SP++; (byte) 6

教科書:系統程式 - 第 2 章、電腦的硬體結構

教科書:系統程式 - 第 2 章、電腦的硬體結構 第 2 章 電腦的硬體結構 作者 : 陳鍾誠 第 2 章 電腦的硬體結構 2.1 CPU0 處理器 2.2 CPU0 的指令集 2.3 CPU0 的運作原理 2.4 CPU0 的程式執行 2.5 實務案例 :IA32 處理器 2.1 CPU0 處理器 CPU0 是筆者所設計的一個簡易的 32 位元處理器, 主要用來說明系統程式的運作原理 CPU0 的設計主要是為了教學考量, 設計重點在於簡單 容易理解,

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

類型載入儲存運算指令跳躍指令 格式 指令 OP 說明 語法 語意 L LD 1 00 載入 word LD Ra, [Rb+Cx] Ra [Rb+ Cx] L ST 01 儲存 word ST Ra, [Rb+ Cx] Ra [ Rb+ Cx] L LDB 02 載入 byte LDB Ra, [R

類型載入儲存運算指令跳躍指令 格式 指令 OP 說明 語法 語意 L LD 1 00 載入 word LD Ra, [Rb+Cx] Ra [Rb+ Cx] L ST 01 儲存 word ST Ra, [Rb+ Cx] Ra [ Rb+ Cx] L LDB 02 載入 byte LDB Ra, [R 附錄 A CPU0 處理器 A.1 處理器 CPU0 是一個簡易的 32 位元處理器, 其架構如圖 A.1 所示, 包含 R0..R15, IR, MAR, MDR 等暫存器, 其中 IR 是指令暫存器,R0 是一個永遠為常數 0 的唯讀暫存器, R15 是程式計數器 (Program Counter : PC),R14 是連結暫存器 (Link Register : LR), R13 是堆疊指標暫存器

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

LCD模組之應用

LCD模組之應用 液晶顯示幕 LCD 模組之應用 第十三章 2018/11/30 例說 89S51-C 語言 13-1 本章內容 2018/11/30 例說 89S51-C 語言 13-2 1 液晶顯示幕 LCD 之日常應用 3 https://s.yimg.com/hg/pimg2/ae/60/p099374833664-item-2330xf2x0600x0600-m.jpg 液晶顯示幕 LCD 之日常應用 4

More information

開放電腦計畫 -- 計算機硬體結構

開放電腦計畫 -- 計算機硬體結構 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 2014 年 7 月 出 版 作 者 : 陳 鍾 誠 ( 創 作 共 用 : 姓 名 標 示 相 同 方 式 分 享 授 權 ) 開 放 電 腦 計 畫 -- 計 算 機 硬 體 結 構 前 言 序 授 權 聲 明 開 放 電 腦 計 畫 簡 介 硬 體 : 計 算 機 結 構 軟 體 : 系 統 程 式 結 語 參 考 文 獻 電 腦 硬

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

2012年报.xls

2012年报.xls 合 计 平 均 0.3560 0.4140-14.02 245091.50 227618.11 7.68 19544.36 19536.49 0.04 50289 51020 51317 51393 51436 600000 浦 发 银 行 2013-05-09 1.8330 1.4630 25.29 8295200 6791800 22.14 3418600 2728600 25.29 411643

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

Microsoft PowerPoint - Ch2-1.ppt

Microsoft PowerPoint - Ch2-1.ppt 第 2 章組譯器 (Assembler) 基本組譯器功能 與機器相關之組譯程式功能 與機器無關之組譯程式功能 組譯器設計選項 2.1 基本組譯器功能 從輸入裝置 (F1) 讀入紀錄, 並將它們放到輸出裝置 (05),X : 表示索引定址. : 表示註解 組譯程式指引命令 START 指定程式名稱和起始位址 END 指示原始程式的結束處, 並指定程式中第一個可執行的指令 BYTE 定義字元或十六進位的常數,

More information

AVR单片机指令系统.PDF

AVR单片机指令系统.PDF AVR 4 1 (1) 89 :AT90S1200, ; (2) 90 ( ):Attiny11/12/15/22; 90 = +89 (3) 118 ( ):AT90S2313/2323/2343/2333,/4414/4433/4434/8515/90S8534/8535 ;118 = + 90 ; (4) 121 ( )ATmega603/103; 121 = + 118 ; (5) 130

More information

ROP_bamboofox.key

ROP_bamboofox.key ROP Return Oriented Programming Lays @ BambooFox Who Am I Lays / L4ys / 累死 - l4ys.tw Reverse Engineering BambooFox / HITCON Outline Buffer Overflow ret2libc / ret2text Return Oriented Programming Payload

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

教科書:系統程式 - 第 8 章、編譯器

教科書:系統程式 - 第 8 章、編譯器 第 8 章 編譯器 作者 : 陳鍾誠 旗標出版社 第 8 章 編譯器 8.1 簡介 8.2 詞彙掃描 8.3 語法剖析 8.4 語意分析 8.5 中間碼產生 8.6 組合語言產生 8.7 最佳化 8.8 實務案例 :gcc 編譯器 8.1 簡介 編譯器 將高階語言轉換成組合語言 ( 或機器碼 ) 的工具 sum = sum + i 編譯器 Compiler LD R1 sum LD R2 i ADD

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

980105

980105 臺 北 縣 政 府 95 年 度 自 行 研 究 報 告 淺 談 房 地 價 格 分 離 - 以 新 店 地 政 事 務 所 實 務 作 業 為 例 研 究 單 位 : 臺 北 縣 新 店 地 政 事 務 所 研 究 人 員 : 沈 菁 菁 研 究 期 程 :95 年 1 月 1 日 至 10 月 31 日 1 目 錄 壹 前 言 一 研 究 動 機 與 目 的 1 二 研 究 方 法 1 貳 地

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

寻医问药指南(十七)

寻医问药指南(十七) ... 1... 1... 49... 120... 131... 131... 146... 171... 180... 181... 181 I 518105 0755-7713177 0755-2425239 0755-2263882 1 0755-2409255 518102 0755-7799045 518002 0755-2220607 2 518101 0755-7788068 518101

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 )

開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 ) 開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 ) 開放電腦計畫 -- 系統程式 前言序授權聲明開放電腦計畫硬體 : 計算機結構軟體 : 系統程式參考文獻 CPU0 處理器 CPU0 指令集實作 :CPU0 的指令表虛擬機 - vm0 組譯範例 VM0 虛擬機設計結語組譯器 - as0 組譯範例 AS0 組譯器設計程式說明

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 )

開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 ) 開放電腦計畫 -- 系統程式 2014 年 8 月出版 作者 : 陳鍾誠衍生自維基百科 ( 創作共用 : 姓名標示 相同方式分享授權 ) 開放電腦計畫 -- 系統程式 前言序授權聲明開放電腦計畫硬體 : 計算機結構軟體 : 系統程式參考文獻 CPU0 處理器 CPU0 指令集實作 :CPU0 的指令表虛擬機 - vm0 組譯範例 VM0 虛擬機設計結語組譯器 - as0 組譯範例 AS0 組譯器設計程式說明結語編譯器編譯器

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

微處理機

微處理機 3-1 指令格式 標記運算碼運算元註解 標記 1. 標記前不可有空白, 否則會被視為運算碼 2. 標記代表一個 16 位元的記憶體實際位址 3. 標記名稱最多 32 個字元 ( 視組譯器不同而有所不同 ) 4. 標記有大小寫之分 5. 標記可有可無 運算碼 1. 運算碼與標記名稱間, 至少必須空一格, 如果沒有標記名稱, 則運算碼前最少要空一格, 否則會被視為標記 2. 運算碼大小寫相同 3. 可以是

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

《佛子行三十七颂》讲记1

《佛子行三十七颂》讲记1 佛 子 行 三 十 七 颂 讲 记 1 达 真 堪 布 光 明 大 圆 满 法 坛 城 为 修 持 成 佛 要 发 殊 胜 菩 提 心! 为 度 化 一 切 父 母 众 生 要 发 誓 修 持 成 佛! 为 早 日 圆 成 佛 道 要 精 进 认 真 闻 思 修 行! 今 天 在 这 里 给 大 家 简 单 地 开 示 一 下 佛 子 行 三 十 七 颂 佛 子 行 三 十 七 颂 是 土 美 仁

More information

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 大 恩 上 师 慈 成 加 参 仁 波 切 目 录 修 法 仪 轨 3 16 24 课 前 念 诵 正 修 上 师 瑜 伽 念 诵 课 后 回 向 为 何 修 持 35 36 38 39 42 上 师 的 含 义 上 师 对 寻 求 解 脱 者 的 重 要 性 谨 慎 选 择 上 师 具 德 上 师 应 具 备 的 条 件

More information

(给多有拉姆)佛子行三十七颂1——7

(给多有拉姆)佛子行三十七颂1——7 胜 利 道 歌 天 鼓 妙 音 法 王 如 意 宝 晋 美 彭 措 造 颂 怙 主 诸 佛 智 慧 身, 文 殊 师 利 童 子 尊, 恒 住 八 瓣 莲 蕊 心, 所 言 愿 利 诸 有 情 甚 深 光 明 大 圆 满, 仅 闻 词 句 断 有 根, 六 月 修 要 得 解 脱, 唯 此 铭 刻 于 心 中 遇 此 胜 法 善 缘 众, 前 世 累 劫 积 资 果, 与 普 贤 王 同 缘 分,

More information

教科書:系統程式 - 第 7 章、高階語言

教科書:系統程式 - 第 7 章、高階語言 第 7 章 高階語言 作者 : 陳鍾誠 旗標出版社 第 7 章 高階語言 7.1 簡介 7.2 語法理論 7.3 語意理論 7.4 執行環境 7.5 實務案例 :C 語言 7.1 簡介 高階語言的核心是 語法理論 利用生成規則 ( 例如 :BNF, EBNF 等 ) 描述程式的語法 根據生成規則撰寫剖析程式, 轉換成語法樹 對語法樹進行 解譯 或 編譯 的動作 編譯器 v.s. 直譯器 直譯器 利用程式解讀該語法樹,

More information

Microsoft PowerPoint - chap1 [相容模式]

Microsoft PowerPoint - chap1 [相容模式] 系統程式 System Programming 蕭銘和 mhhsiao4567@gmail.com 課程目標 了解組譯器 編譯器 連結器及作業系統的基本原理, 並學習編譯器程式的發展工具, 進而能撰寫簡單的編譯器程式 單元主題內容網要 1. 系統程式簡介 1. 何謂系統程式 2. 電腦系統的演進 2. 機器語言 1. 一般機器結構 2. 機器語言格式 3. 電腦動作原理複習 3. 組譯器 1. 符號表資料結構

More information

基本數學核心能力測驗_行為觀察記錄紙_G2版本

基本數學核心能力測驗_行為觀察記錄紙_G2版本 基本數學數學核心能力測驗 G2 行為觀察記錄記錄紙 學校 : 班級 : 姓名 : 日期 : 記錄者 : ~ 學生作答時, 請他 ( 她 ) 將雙手皆置於桌面 ~ 認識數字 ( 三 ): 數列 ( 共 1 頁 ) 注意事項 逐題觀察並作底下記錄, 等分測驗做完後, 每一個策略任選一題問 這一題你是怎麼算的? ( 如果只運用一種策略, 則再任選 2-3 題訪問 ) 利用學生的回答來作為 自己觀察記錄的證據

More information

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc Author WeiKai Version 1.0.0 Date 2013/4/14 Page 1/11 我如何在 XPAC 上建立一個 COM port 通訊程式 Applies to: Platform OS version XPAC utility version XPAC series All versions (WinCE6) All versions XPAC SDK 提供 XPAC 結合

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

<4D F736F F D DA5BFA6A1C476C1C92DBEC7ACECB8D5A8F728B57BB35D292E646F63>

<4D F736F F D DA5BFA6A1C476C1C92DBEC7ACECB8D5A8F728B57BB35D292E646F63> 全國高級中等學校 106 學年度商業類科學生技藝競賽 程式設計 職種 學科 試卷 選手證號碼 ( 崗位編號 ): 姓名 : 注意事項 : 請將答案劃記於答案卡, 未依規定劃記者不予計分 試題說明 :( 選擇題共 25 題每題 4 分, 答錯不倒扣, 共 100 分 ) ( )1. 執行以下 Visual Basic 程式片段, 其結果為何?(A) 15 (B) 12 (C) 7 (D) 3 Dim

More information

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239>

<4D6963726F736F667420576F7264202D20D1A7C9FACAD6B2E1B8C4D7EED6D5A3A8B4F8B1EDB8F1BCD3D2B3C2EBB0E6A3A9372E3239> 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 学 生 工 作 部 ( 处 ) 二 〇 一 五 年 七 月 北 京 科 技 大 学 学 生 手 册 ( 本 科 生 ) 编 委 会 主 编 于 成 文 盛 佳 伟 编 委 ( 按 姓 氏 笔 画 排 序 ) 丁 煦 生 尹 兆 华 龙 洋 史 立 伟 曲 涛 刘 晓 东 杜 振 民 杨 雄 何 进 宋 波 张 卫 冬 张 卫 钢 张 文

More information

桂林市劳动和社会保障局关于

桂林市劳动和社会保障局关于 桂 林 市 人 力 资 源 和 社 会 保 障 局 文 件 市 人 社 发 2012 60 号 桂 林 市 人 力 资 源 和 社 会 保 障 局 关 于 2012 年 秘 书 等 十 八 个 职 业 国 家 职 业 资 格 全 国 全 区 统 一 考 试 有 关 问 题 的 通 知 各 有 关 单 位 : 根 据 自 治 区 人 力 资 源 和 社 会 保 障 厅 关 于 做 好 2012 年 国

More information

Microsoft Word 年度选拔硕博连读研究生的通知.doc

Microsoft Word 年度选拔硕博连读研究生的通知.doc 南 工 (2015) 研 字 第 3 号 关 于 选 拔 2015 年 度 硕 博 连 读 研 究 生 的 通 知 各 有 关 学 院 : 为 适 应 我 国 构 建 社 会 主 义 和 谐 社 会 和 建 设 创 新 型 国 家 的 战 略 需 要, 加 快 拔 尖 创 新 人 才 的 培 养, 我 校 决 定 继 续 推 荐 和 选 拔 一 批 基 本 素 质 好 业 务 基 础 强 品 学 兼

More information

Microsoft PowerPoint - chapter2.ppt

Microsoft PowerPoint - chapter2.ppt 本章目標 了解電腦的基本功能與原理 了解組譯程式與組合語言程式 了解組合語言程式的建立與執行 了解基本的組譯程式假指令 了解組譯程式如何組譯組合語言程式 2.1 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (80x86) 機器語言 (80x86) 機器 2.2 程式設計層次關係圖 #include "stdio.h" void main()

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

T

T 通 訊 指 令 說 明 Pt : 1, 透 過 Port 1 以 Modbus RTU 通 訊 定 作 料 傳 輸 2, 透 過 Port 2 以 Modbus RTU 通 訊 定 作 料 傳 輸 SR : 通 訊 程 式 起 始 暫 存 器 ( 見 範 例 說 明 ) WR : 指 令 運 作 起 始 暫 存 器 ( 見 範 例 說 明 ), 共 佔 用 8 個 暫 存 器, 其 它 程 式 不

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 8088/8086 MICROPROCESSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS 5.1 Data-Transfer Instructions 5.2 Arithmetic Instructions

More information

Microsoft Word - 1-3陳詠琳-近代..

Microsoft Word - 1-3陳詠琳-近代.. 近 代 數 字 卦 研 究 考 述 陳 詠 琳 摘 要 所 謂 的 數 字 卦, 乃 指 出 土 文 物 上 某 種 奇 特 的 卜 筮 符 號, 有 學 者 表 示 這 些 符 號 為 數 字, 並 將 之 與 周 易 連 結, 遂 使 此 類 符 號 有 筮 數 易 卦 之 稱, 為 一 門 新 穎 的 易 學 研 究 議 題 張 政 烺 以 奇 數 為 陽, 偶 數 為 陰 的 原 則, 把

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :,

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :, 21 PLC ( FX ) FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) PLC ( FX )/. :, 2005.6 (21. ) ISBN 7-302-10896-X. P.. - : -.

More information

Excel VBA Excel Visual Basic for Application

Excel VBA  Excel Visual Basic for Application Excel VBA Jun5,00 Sub 分頁 () Dim i As Integer Dim Cname As String Dim Code As Variant Set score=thisworkbook.sheets("sheet") Code=Array(" 專北一 "," 專北二 "," 專北三 "," 專桃園 "," 專桃竹 "," 專中苗 ", " 專台中 "," 專台南 ","

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I 78 SZ/SH/SM 55 ON OUT/ZOUT OUT OUT AOUT ZOUT SZ3 SZ4 SH SM SZSHSM I000~I177 I000~I477 I000~I077 I000~I077 1 Q000~Q177 Q000~Q477 Q000~Q077 Q000~Q077 M000~M377 M000~M377 M000~M377 M000~M377 1. ON OFF 2.OUT

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc)

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc) 作者 Amber 版本 1.0.0 日期 2012/04/25 頁數 1/7 如何使用 LED 燈顯示狀態? 適用於 : 平台 作業系統版本 XPAC utility 版本 XP-8000 系列 N/A N/A XP-8000-Atom 系列 WES2009 所有版本 N/A: Not applicable to this platform and OS. 注意! 欲變更系統的任何設定之前, 請先關閉

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

S P = n = S PVsp ( 1+ i) 1 ( 1+ 010. ) 10 = PV sp = 0. 3855 10000 1000 = 900 10 ( 10000 + 1000) 010. = 5500 010. = 550 2 = 100( - ) 20000 50000 5 100 = 40% 50000 2 20000 6875 10000 3125 100 100 = = 12.

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

40 601007 金 陵 饭 店 2015-06-30 中 兴 华 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 天 衡 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 41 000659 *ST 中 富 2015-06-30 中 喜 已 报 备 业 务 约 定 书 到 期 普

40 601007 金 陵 饭 店 2015-06-30 中 兴 华 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 天 衡 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 41 000659 *ST 中 富 2015-06-30 中 喜 已 报 备 业 务 约 定 书 到 期 普 附 表 6-1: 上 市 公 司 2015 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2016 年 3 月 21 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 300326 凯 利 泰 2014-07-21 大 华 已 报 备 聘 期 已 满 立 信 已 报 备 客 户 业 务 发 展 需 要 2 300129 泰 胜 风 能 2014-12-30

More information

39 600806 昆 明 机 床 2015-08-10 瑞 华 已 报 备 前 任 服 务 年 限 较 长 毕 马 威 华 振 已 报 备 未 与 客 户 未 就 2015 年 审 计 收 费 达 成 一 致 意 见 40 601985 中 国 核 电 2015-08-13 天 健 已 报 备 定

39 600806 昆 明 机 床 2015-08-10 瑞 华 已 报 备 前 任 服 务 年 限 较 长 毕 马 威 华 振 已 报 备 未 与 客 户 未 就 2015 年 审 计 收 费 达 成 一 致 意 见 40 601985 中 国 核 电 2015-08-13 天 健 已 报 备 定 附 表 6-1: 上 市 公 司 2015 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2016 年 2 月 29 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 300326 凯 利 泰 2014-07-21 大 华 已 报 备 聘 期 已 满 立 信 已 报 备 客 户 业 务 发 展 需 要 2 300129 泰 胜 风 能 2014-12-30

More information

000546 金 圆 股 份 重 大 事 项, 特 停 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 600146 商 赢 环 球 重 要 事 项 未 公 告, 连 续 停 牌 000517 荣 安 地 产 临 时 停 牌 002445 中 南 文 化

000546 金 圆 股 份 重 大 事 项, 特 停 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 600146 商 赢 环 球 重 要 事 项 未 公 告, 连 续 停 牌 000517 荣 安 地 产 临 时 停 牌 002445 中 南 文 化 停 牌 300104 乐 视 网 临 时 停 牌 600272 开 开 实 业 重 要 事 项 未 公 告, 停 牌 1 天 600315 上 海 家 化 重 要 事 项 未 公 告, 停 牌 1 天 600745 中 茵 股 份 重 要 事 项 未 公 告, 停 牌 1 天 002624 完 美 环 球 重 大 事 项, 特 停 600338 西 藏 珠 峰 重 要 事 项 未 公 告, 连 续

More information

856 600306 商 业 城 2016-04-26 大 华 标 准 70 万 70 万 857 600497 驰 宏 锌 锗 2016-04-26 瑞 华 标 准 140 万 150 万 858 601890 亚 星 锚 链 2016-04-26 江 苏 公 证 天 业 标 准 80 万 80

856 600306 商 业 城 2016-04-26 大 华 标 准 70 万 70 万 857 600497 驰 宏 锌 锗 2016-04-26 瑞 华 标 准 140 万 150 万 858 601890 亚 星 锚 链 2016-04-26 江 苏 公 证 天 业 标 准 80 万 80 附 表 1: 上 市 公 司 财 务 报 表 审 计 报 告 简 要 情 况 明 细 表 表 1-1 沪 市 主 板 序 号 833 603025 大 豪 科 技 2016-04-25 北 京 兴 华 标 准 39 万 1 834 603398 邦 宝 益 智 2016-04-25 大 华 标 准 50 万 2 835 603988 中 电 电 机 2016-04-25 天 健 标 准 35 万 35

More information

欢迎辞

欢迎辞 欢 迎 辞 尊 敬 的 各 参 会 代 表 : 欢 迎 您 参 加 由 中 国 上 市 公 司 协 会 中 国 证 监 会 上 市 公 司 监 管 部 主 办 的 2014 年 第 1 期 上 市 公 司 董 事 长 总 经 理 研 修 班! 本 次 培 训 得 到 了 广 大 上 市 公 司 的 高 度 关 注 与 积 极 反 馈, 报 名 人 数 远 超 预 期, 参 加 本 期 研 讨 班 的

More information

002496 辉 丰 股 份 重 大 事 项, 特 停 002553 南 方 轴 承 临 时 停 牌 002571 德 力 股 份 临 时 停 牌 300241 瑞 丰 光 电 临 时 停 牌 300269 联 建 光 电 临 时 停 牌 002656 卡 奴 迪 路 临 时 停 牌 300367

002496 辉 丰 股 份 重 大 事 项, 特 停 002553 南 方 轴 承 临 时 停 牌 002571 德 力 股 份 临 时 停 牌 300241 瑞 丰 光 电 临 时 停 牌 300269 联 建 光 电 临 时 停 牌 002656 卡 奴 迪 路 临 时 停 牌 300367 停 牌 600234 山 水 文 化 重 要 事 项 未 公 告, 下 午 002249 大 洋 电 机 重 大 事 项, 特 停 600337 美 克 家 居 重 要 事 项 未 公 告, 连 续 停 牌 002192 路 翔 股 份 实 施 退 市 风 险 警 示 公 告, 停 牌 1 天 002137 实 益 达 重 大 事 项, 特 停 000766 通 化 金 马 重 大 事 项, 特 停

More information

日 涨 幅 偏 离 值 达 到 7% 的 前 五 只 证 券 : 温 氏 股 份 ( 代 码 300498) 涨 幅 偏 离 值 :11.68% 成 交 量 :1752 万 股 成 交 金 额 : 81104 万 元 机 构 专 用 104430598.43 0.00 机 构 专 用 7049617

日 涨 幅 偏 离 值 达 到 7% 的 前 五 只 证 券 : 温 氏 股 份 ( 代 码 300498) 涨 幅 偏 离 值 :11.68% 成 交 量 :1752 万 股 成 交 金 额 : 81104 万 元 机 构 专 用 104430598.43 0.00 机 构 专 用 7049617 深 圳 证 券 市 场 创 业 板 2016 年 02 月 29 日 公 开 信 息 证 券 列 表 证 券 代 码 证 券 简 称 披 露 原 因 300023 宝 德 股 份 日 价 格 涨 幅 偏 离 值 达 到 10.31% 300100 双 林 股 份 日 价 格 涨 幅 偏 离 值 达 到 10.23% 300120 经 纬 电 材 日 价 格 振 幅 达 到 18.12% 300139

More information

上市公司股东大会投票信息公告(20110916)

上市公司股东大会投票信息公告(20110916) 上 市 公 司 股 东 大 会 投 票 信 息 公 告 (20160510) 证 券 代 码 证 券 简 称 投 票 登 记 日 会 员 投 票 日 投 票 代 码 客 户 投 票 意 见 征 集 渠 道 投 票 意 愿 征 集 截 止 日 300324 旋 极 信 息 2016-05-04 2016-05-10 365324 融 资 融 券 交 易 系 统 营 业 部 2016-05-09 002209

More information

股票代码:600732 股票简称:*ST新梅 编号:临2015-052

股票代码:600732              股票简称:*ST新梅              编号:临2015-052 股 票 代 码 :600732 股 票 简 称 :*ST 新 梅 编 号 : 临 2016-028 上 海 新 梅 置 业 股 份 有 限 公 司 关 于 回 复 上 海 证 券 交 易 所 问 询 函 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实 性

More information

34 002221 东 华 能 源 2014-10-29 江 苏 苏 亚 金 诚 已 报 备 因 地 域 及 审 计 时 间 安 排 等 原 因 中 兴 华 已 报 备 客 户 重 新 选 聘 会 计 师 事 务 所 35 002019 亿 帆 鑫 富 2014-09-30 立 信 已 报 备 客

34 002221 东 华 能 源 2014-10-29 江 苏 苏 亚 金 诚 已 报 备 因 地 域 及 审 计 时 间 安 排 等 原 因 中 兴 华 已 报 备 客 户 重 新 选 聘 会 计 师 事 务 所 35 002019 亿 帆 鑫 富 2014-09-30 立 信 已 报 备 客 附 表 6-1: 上 市 公 司 2014 年 度 财 务 报 表 审 计 机 构 变 更 信 息 明 细 表 ( 截 至 2015 年 3 月 2 日 ) 序 号 股 票 代 码 股 票 简 称 变 更 日 期 1 601169 北 京 银 行 2014-05-20 安 永 华 明 已 报 备 事 务 所 轮 换 普 华 永 道 中 天 已 报 备 前 任 服 务 合 同 到 期, 客 户 重 新

More information

002464 金 利 科 技 临 时 停 牌 600071 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 600397 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌 000534 万 泽 股 份 临 时 停 牌 002610 爱 康 科 技 重 大 事 项, 特 停

002464 金 利 科 技 临 时 停 牌 600071 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 600397 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌 000534 万 泽 股 份 临 时 停 牌 002610 爱 康 科 技 重 大 事 项, 特 停 停 牌 002575 群 兴 玩 具 重 大 事 项, 特 停 002656 摩 登 大 道 重 大 事 项, 特 停 002725 跃 岭 股 份 重 大 事 项, 特 停 300084 海 默 科 技 重 大 事 项, 特 停 600250 南 纺 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002526 山 东 矿 机 重 大 事 项, 特 停 002571 德 力 股 份 重 大

More information

300356 光 一 科 技 重 大 事 项, 特 停 600828 茂 业 商 业 重 要 事 项 未 公 告, 连 续 停 牌 002266 浙 富 控 股 重 大 事 项, 特 停 002316 键 桥 通 讯 重 大 事 项, 特 停 002387 黑 牛 食 品 重 大 事 项, 特 停

300356 光 一 科 技 重 大 事 项, 特 停 600828 茂 业 商 业 重 要 事 项 未 公 告, 连 续 停 牌 002266 浙 富 控 股 重 大 事 项, 特 停 002316 键 桥 通 讯 重 大 事 项, 特 停 002387 黑 牛 食 品 重 大 事 项, 特 停 停 牌 000034 神 州 数 码 临 时 停 牌 000960 锡 业 股 份 重 大 事 项, 特 停 002658 雪 迪 龙 重 大 事 项, 特 停 300168 万 达 信 息 重 大 事 项, 特 停 600241 时 代 万 恒 重 要 事 项 未 公 告, 连 续 停 牌 600538 国 发 股 份 重 要 事 项 未 公 告, 停 牌 1 天 600540 新 赛 股 份 重

More information

600121 郑 州 煤 电 重 要 事 项 未 公 告, 连 续 停 牌 000546 金 圆 股 份 重 大 事 项, 特 停 600105 永 鼎 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌

600121 郑 州 煤 电 重 要 事 项 未 公 告, 连 续 停 牌 000546 金 圆 股 份 重 大 事 项, 特 停 600105 永 鼎 股 份 重 要 事 项 未 公 告, 连 续 停 牌 002071 长 城 影 视 临 时 停 牌 000710 天 兴 仪 表 临 时 停 牌 停 牌 000025 特 力 A 股 价 异 动, 特 停 002631 德 尔 未 来 重 大 事 项, 特 停 002713 东 易 日 盛 重 大 事 项, 特 停 300351 永 贵 电 器 重 大 事 项, 特 停 000948 南 天 信 息 重 大 事 项, 特 停 300008 天 海 防 务 重 大 事 项, 特 停 300032 金 龙 机 电 重 大 事 项, 特 停 600853

More information

600173 卧 龙 地 产 重 要 事 项 未 公 告, 连 续 停 牌 002547 春 兴 精 工 临 时 停 牌 600230 *ST 沧 大 重 要 事 项 未 公 告, 连 续 停 牌 600665 天 地 源 重 要 事 项 未 公 告, 连 续 停 牌 300282 汇 冠 股 份

600173 卧 龙 地 产 重 要 事 项 未 公 告, 连 续 停 牌 002547 春 兴 精 工 临 时 停 牌 600230 *ST 沧 大 重 要 事 项 未 公 告, 连 续 停 牌 600665 天 地 源 重 要 事 项 未 公 告, 连 续 停 牌 300282 汇 冠 股 份 停 牌 000557 *ST 广 夏 撤 销 退 市 风 险 警 示, 停 牌 1 天 002131 利 欧 股 份 临 时 停 牌 002707 众 信 旅 游 临 时 停 牌 300005 探 路 者 重 大 事 项, 特 停 300061 康 耐 特 临 时 停 牌 300062 中 能 电 气 重 大 事 项, 特 停 600455 博 通 股 份 重 要 事 项 未 公 告, 连 续 停

More information

证券代码:000776   股票简称:延边公路   编号:2003-00

证券代码:000776   股票简称:延边公路   编号:2003-00 2005 1 23 1 77 -------------------------------------------------------------------------------3 ----------------------------------------------------------------4 ----------------------------------------------------------------------6

More information

上海浦~1

上海浦~1 上 海 浦 发 银 行 参 与 高 等 职 业 教 育 人 才 培 养 年 度 报 告 ( ) 一 校 企 合 作 概 况 ( 一 ) 企 业 简 介 上 海 浦 东 发 展 银 行 股 份 有 限 公 司 ( 以 下 简 称 : 浦 发 银 行 ) 是 1992 年 8 月 28 日 经 中 国 人 民 银 行 批 准 设 立 1993 年 1 月 9 日 开 业 1999 年 在 上 海 证 券

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

p-2

p-2 B 卷 選擇題 共 50 題 ( 共 100 分 ) 1. 執行下列 Visual Basic 程式片段後, 共輸出幾筆資 料? x = 0: y = 1 Print y x = x + y Print x y = y + 1 If x >= 10 Then Exit Loop While y

More information