M7 常见问题汇总 Q1: M7 AS 模式下, 下载线第一次可以下载配置文件到 M7 且配置成功, 但后面 JTAG 检测不到 Device ID, 也不能下载配置文件 这种情况怎么解决? 原因 M7 例化 Arm IP 时,fp2soc_rst_n 信号是用作 FP 逻辑复位 ARM 核 硬件在

Size: px
Start display at page:

Download "M7 常见问题汇总 Q1: M7 AS 模式下, 下载线第一次可以下载配置文件到 M7 且配置成功, 但后面 JTAG 检测不到 Device ID, 也不能下载配置文件 这种情况怎么解决? 原因 M7 例化 Arm IP 时,fp2soc_rst_n 信号是用作 FP 逻辑复位 ARM 核 硬件在"

Transcription

1 Q1: M7 AS 模式下, 下载线第一次可以下载配置文件到 M7 且配置成功, 但后面 JTAG 检测不到 Device ID, 也不能下载配置文件 这种情况怎么解决? 原因 M7 例化 Arm IP 时,fp2soc_rst_n 信号是用作 FP 逻辑复位 ARM 核 硬件在内部把这个信号连到了 ARM 系统复位和 JTAG 模块的复位端口上 当 FP 通过 fp2soc_rst_n 复位 ARM 的时候, 同时也就复位了 JTAG 模块 若 M7 导致 fp2soc_rst_n 一致是低电平状态, 就会出现 JTAG 检测不到 Device ID, 也不能下载配置文件的情况 可能导致这种情况的场景 1). 用 PLL 的 lock 输出作 fp2soc_rst_n 的复位源 若 PLL 的时钟输入没有或其他原因导致 PLL 不能锁定, 就会导致 fp2soc_rst_n 是复位状态, 从而导致出现 JTAG 检测不到 Device ID, 也不能下载配置文件的情况 2). 外部 IO 信号作为 fp2soc_rst_n 的复位源 这种情况下, 若是用户忘记分配这个 IO 复位信号, 工具会优化掉相关逻辑, 导致配置成功后,fp2soc_rst_n 信号是复位状态 ; 或者用户分配了 IO, 但连接这个 IO 的外部驱动是 0, 也会使 fp2soc_rst_n 是复位状态 先检查设计上是否有导致 fp2soc_rst_n 始终处于复位状态的情况并改正 解决办法 1). Priamce7.2 以前的版本用本方法解决本问题 断电,M7 的 CFG_MODE 切换到高变成 PS 模式 再上电, 用 JTAG download 正确的 bitstream 数据到 SPI Flash 中 断电后, 切换 M7 的 CFG_MODE 为低变成 AS 模式 配置如下图, 设计 CFG_MODE,AS 模式和 PS 模式跳线切换 Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 1

2 Pin 2 JTAG Pin 1 CME Device TMS TDI Pin 2 TCK TDO nconfig CFG_MODE FLS_CSN CS# FLS_SCLK SCLK FLS_SO FLS_SI FLS_WP SI SO WP SPI Flash FLS_HOLD HOLD# 2). Cable 直接对 SPI Flash 编程 客户依照下图设计配置电路, 内部绑定的需要相关 SPI 引脚如下图连接 Primace7.2 及以后版本支持 CME Cable 对 SPI Flash 直接 编程,JTAG 接口是可选项 Optional Pin 2 JTAG Pin 1 CME Device TMS TDI TCK TDO nconfig CFG_MODE FLS_CSN CS# FLS_SCLK SCLK FLS_SO FLS_SI FLS_WP SI SO WP SPI Flash FLS_HOLD HOLD# Pin 2 Pin 1 SPI Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 2

3 Q3: ARM 在线调试的时候, 程序执行到 SystemInit 函数中的 GLB_SelectSysClkSource (SYS_CLK_SEL_DLL) 处停住了 ARM 程序选择的系统时钟源与 Primace 设计中的系统时钟源不一致 系统时钟可以来自指定的 DLL2 外部 Xin, 内部 OSC 和其他时钟源 Q4: ARM 在线调试的时候, 下载过程中弹出如下窗口, 怎么解决? 这很可能是 Keil 工程中的 Memory 窗口打开了, 且打开的区域不能访问, 比如访问的 0XA 地址, 但是 FP 里没有扩展这个地址, 导致 Keil 读这个地址出现异常, 锁住了系统总线 在 Keil 的 *.uvopt 文件中有如下语句 : <MemoryWindow1> <Mm> <WinNumber>1</WinNumber> <SubType>0</SubType> <ItemText>0xa </ItemText> </Mm> </MemoryWindow1> 关闭 Keil 工程, 删掉这些语句并保存 再打开工程, 就能正常在线调试 Q5: 有上电顺序要求, 内核电压先于 VDD33 达到门限值 Q6: LQFP144 LQFP216 和 LQFP256 封装的 M7 芯片连接 DDR2/3 颗粒, 两层板是否 能 Layout? 能 Q7: IO42_NMI_2 这个脚悬空或接高会有什么结果? 会导致 ARM 程序一直进入 NMI 中断复位程序 不用做 ARM NMI 中断源, 需把该 Pin 下拉 Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 3

4 Q8: ADC 的 VREF 可以悬空吗? ADC 的 VREF 悬空表示 ADC 用内部的 reference Q9: M7 上的接口如 RTC_XIN, XSCI,XSCO 等没有用到的输入信号悬空对设计有没有影 响? 无影响 Q10: XIN,XOUT,RTC_XIN,RTC_XOUT 是否都处于 BANK11,BANK11 作为 LVDS 配置的 时候供电 2.5V, 会对这些信号有什么影响? 对这些信号没有影响 Q11: 关于 USB: 必须使用 XSCI,XSCO 为 USB 提供时钟吗? 用 M7 的全局时钟网络是否可行? USB 的时钟既可以使用外部的晶体通过 XSCI,XSCO 提供的时钟, 也可以使用 M7 的全局时钟, 需要在 Primace 工程中给 USB 提供时钟, 同时对应 USB 的时钟选择寄存器要配置相应的值 Q12: 关于太网部 : 参考设计中为 M88E1111 提供 GTX_CLK 时钟的有多个, 是否可以直接 由 IO_06P_ETH_PHY_GTX_CLK_O_4 提供? 可以用 IO_06P_ETH_PHY_GTX_CLK_O_4 Q13: DDR 的 PLL 是否需要指定使用某个特定 CMB: ARM wizard 在指定 DDR 的时候, 已经把 pll 和 DLL 固定到特定的位置上, 不能手工 修改位置 Q14: 手册上说 M7 内嵌 FLASH 的时候只能用使用 AS 和 JTAG 配置模式, 没有 PS 模式的情况下怎么用外部控制器配置 M7? 如果内部的 FLASH 用于 AS 配置, 是否意味着 FLASH 不能同时用于 ARM?AS 配置 M7 需要占用内部 FLASH 多少容量? 没有 PS 模式的情况下,JTAG 可以操作 SPI Flash,ARM 也可以操作 SPI Flash AS 的 M7 只用 128K 的 SRAM 做 code 的话, 大约 4Mb,8Mb 够用 但 ddr 中跑 code 的话, 那就不够 若是不够, 在设计的时候用外部的 EVM 存 ARM 的 code Q15: M7 的 SPI 接口是可以配置成 Master or Slave mode? M7 的 SPI 只支持 Master 模式不支持 slave 模式 Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 4

5 Q16: 有没有 M7 上 SPI Flash 读写控制和 SPI 接口控制的相关代码. 在 M7 的 FLASH demo 程序中有 SPI Flash 读写控制程序,SPI 中有 SPI 接口的相关 代码 这些代码会放到 Primace example 目录底下 Q17: 配置输出文件 bin, PS, JTAG, SPI, Hex 各种格式有什么区别? Bin 文件是二进制格式的 CME 配置文件, 包含了注释和纯配置数据 ;PS 是 PS 模式下的 16 进制的以 Byte 为单位的 CME 配置文件, 包含了注释和纯配置数据 ;SPI 是 AS 模式下的 16 进制的以 Byte 为单位的 CME 配置文件, 包含了注释和纯配置数据 ; 文件是 Hex 格式的 CME 配置文件, 为纯配置数据 Q18: 在 SPI 配置 FLASH 中 FPGA 的配置数据和 ARM 的程序段和数据段是如何存放的? 如果我想用配置 FPGA 的 SPI FLASH 的话应该如何用? 我怎么保证在后续操作 SPI Flash 过程中不会对 FPGA 的配置数据造成影响? 客户想知道我们的配置文件最大有多大, 这样便于确定哪个地址开始可以作为自己的存储空间 用 Cable 下载的时候,GUI 上的 Console 输出会显示 M7 的 Image 占用的大小 用户可以根据这些信息来规划自己的存储空间 Q19: SPI controller 和 SPI interface 等 IP 核能在芯片发布的时候一块发布吗? Fuxi 软件里是灰色的, 无法使用 M7 中的 SPI Flash 用法与 M5 不同, 无 SPI interface 的接口 用户只需通过 ARM 的程序控制就行 实例代码见 FLASH demo Q20: ADC 的外部基准是 1.0V, 从客户端反馈来看,1.0V 的基准不好买, 能买到的也是 1.024V 的电压基准芯片 ( 售价在 2-3$ 左右 ), 价格较贵, 是否我们的 ADC 可以支持 1.25V 的基准, 或者是否有低成本的 1.0V 基准芯片推荐? A: 可以用 1.024V 的电压基准芯片, 不支持 1.25V 的电压基准 Q21: 从目前的测试来看 ( 请参考 CME_M7_DDR_Training 培训文档 ),FPGA 在 160MHz 下访问 DDR3 的实际性能是 280MB/s( 写 ),180MB/s( 读 ) M7 Datasheet 中 DDR 的性能标称 666Mbps*16bit( 即 :1,332MB/s), 就算是除去命令的开销, 性能也应该要达到 1GB/s 以上才正常 如何解决这个问题? A: FPGA AHB Master 的时序最大大约为 160MHz, 因此最大带宽为 480MB/s DDR 控制器最大 burst 是 8, 每次 burst 完成后,DDR controller 要重发相关 DDR 操作时序 ;FPGA AHB Master 与系统的 AHB 总线是通过异步桥通讯, 每次重新交互需要消 Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 5

6 耗不少时钟周期 上述原因导致 FPGA 在 160MHz 下访问 DDR3 的实际性能是 280MB/s( 写 ),180MB/s( 读 ) Q22: M7 是否有上电时序要求? A: 无 Q23: ARM Cortex-M3 是否支持 SDRAM 作为 Code Memory 以及性能如何? A: 支持 Q24: M7 以太网是否支持 RMII 和 RGMII 接口? 如果支持, 如何支持? A: 不支持 Q25: M7 是否支持 4 线 SPI Flash 作为配置芯片? 如果支持, 如何支持? A: 不支持 Copyright 2018 Hercules Microelectronics, Inc. All rights reserved. 6

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

¬¬

¬¬ 2 年 第 9 周 2.2.2-2.2.27 26 年 第 7 周 : 受 春 节 影 响, 一 二 级 市 场 无 供 应 成 交 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 供 应 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 成 交 26 年 第 7 周 (26 年 2

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

目 录 欢 迎 使 用... 1 1. 产 品 介 绍... 2 1.1 产 品 概 述... 2 1.2 产 品 特 点... 2 2. 代 理 商 系 统 使 用 说 明... 3 2.1 登 陆... 3 2.2 基 本 信 息... 4 2.3 分 销 商 管 理... 5 2.4 帐 户

目 录 欢 迎 使 用... 1 1. 产 品 介 绍... 2 1.1 产 品 概 述... 2 1.2 产 品 特 点... 2 2. 代 理 商 系 统 使 用 说 明... 3 2.1 登 陆... 3 2.2 基 本 信 息... 4 2.3 分 销 商 管 理... 5 2.4 帐 户 悠 讯 (telyou) 代 理 商 手 册 (V1.0) 广 阔 网 络 通 信 技 术 有 限 公 司 1 目 录 欢 迎 使 用... 1 1. 产 品 介 绍... 2 1.1 产 品 概 述... 2 1.2 产 品 特 点... 2 2. 代 理 商 系 统 使 用 说 明... 3 2.1 登 陆... 3 2.2 基 本 信 息... 4 2.3 分 销 商 管 理... 5 2.4

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断

3. 企 业 债 券 : 公 司 债 券 : 5. 证 券 公 司 债 券 : 6. 企 业 短 期 融 资 券 : 7. 中 期 票 据 : 8. 资 产 支 持 证 券 : 9. 国 际 开 发 机 构 人 民 币 债 券 : 10. 中 小 非 金 融 企 业 集 合 票 据 例 题? 判 断 第 1 节 投 资 银 行 业 务 概 述 1. 投 资 银 行 的 含 义 [ 熟 悉 ]: 等 第 1 章 证 劵 经 营 机 构 的 投 资 银 行 业 务 (1) 狭 义 的 就 是 指 某 些 资 本 市 场 活 动, 着 重 指 一 级 市 场 上 的 承 销 并 购 和 融 资 活 动 的 财 务 顾 问 (2) 广 义 的 包 括 公 司 融 资 并 购 顾 问 股 票 和 债 券

More information

Page 1 of 21 中 文 简 体 中 文 繁 体 邮 箱 搜 索 本 网 站 搜 索 搜 索 网 站 首 页 今 日 中 国 中 国 概 况 法 律 法 规 公 文 公 报 政 务 互 动 政 府 建 设 工 作 动 态 人 事 任 免 新 闻 发 布 当 前 位 置 : 首 页 >> 公 文 公 报 >> 国 务 院 文 件 >> 国 务 院 文 件 中 央 政 府 门 户 网 站 www.gov.cn

More information

優質居所 攜手共建

優質居所 攜手共建 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority. All rights reserved. 2000 Housing Authority.

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

<BBB6D3ADB7C3CECABFC6D1A7CEC4BBAFC6C0C2DB>

<BBB6D3ADB7C3CECABFC6D1A7CEC4BBAFC6C0C2DB> 1 of 5 7/18/2010 2:35 PM 联 系 管 理 员 收 藏 本 站 中 国 科 学 院 自 然 科 学 史 研 究 所 首 页 期 刊 介 绍 创 刊 寄 语 编 委 成 员 往 期 下 载 论 坛 网 络 资 源 12th ICHSC [ 高 级 ] 现 在 位 置 : 首 页 > 期 刊 文 章 小 中 大 打 印 关 闭 窗 口 PDF 版 查 看 桃 李 不 言, 下 自

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已经安装, 并能正常运行 ; 准备好 CME-M7 器件及下载线 ; 准备好演示文件 ( 位于软件安装目录下, 默认 : C:\capital_micro\primace7.0\Examples\M7\primace\M7

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

“PC通”商业计划书.doc

“PC通”商业计划书.doc 91 Digital Net ( SHANGHHAI ) CO.,LTD. Copyright 91 Digital Net ( SHANGHHAI ) CO.,LTD.. All Rights Reserved. 1 2 / 3 YDJK0412 YDJK001... 4...4...4...5... 6... 6...6...7...7... 7... 8... 8... 8...

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

M7 uclinux 设计实例快速指南 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并

M7 uclinux 设计实例快速指南 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并能正常运行 ; 准备好 M7 器件及下载线 ; 准备好演示文件 ( 位于软件安装目录下, 默认 : C:\hercules_micro\fuxi2018.1\Examples\M7\fuxi\M7

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

一 土 地 市 场 1 土 地 供 应 2016 年 第 19 周 (2016 年 5 月 2 日 2016 年 5 月 8 日 ), 北 京 供 应 土 地 0 宗 2016 年 第 19 周 北 京 房 地 产 市 场 土 地 供 应 一 览 表 地 块 面 积 宗 地 号 / 名 称 交 易

一 土 地 市 场 1 土 地 供 应 2016 年 第 19 周 (2016 年 5 月 2 日 2016 年 5 月 8 日 ), 北 京 供 应 土 地 0 宗 2016 年 第 19 周 北 京 房 地 产 市 场 土 地 供 应 一 览 表 地 块 面 积 宗 地 号 / 名 称 交 易 2016 年 第 19 周 2016.5.2-2016.5.8 北 京 / 市 场 周 报 第 19 周 : 五 月 首 周 一 二 手 房 成 交 热 度 降 低 土 地 市 场 再 现 热 潮 2016 年 第 19 周 (2016 年 5 月 2 日 2016 年 5 月 8 日 ), 北 京 供 应 土 地 0 宗, 成 交 3 宗, 新 增 预 售 证 2 个 北 京 商 品 住 宅 市

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

http://learning.sohu.com/s2007/07gkzw/ Page 1 of 13 搜 狐 首 页 - 新 闻 - 体 育 - 娱 乐 圈 - 财 经 - IT - 汽 车 - 房 产 - 女 人 - 短 信 - ChinaRen - 邮 件 - 博 客 - BBS - 搜 狗 各 媒 院 地 体 校 关 动 注 态 招 志 07 分 办 愿 数 主 模 线 任 拟 估 填 访

More information

All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library

More information

All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National

More information

All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library Board, Singapore All Rights Reserved, National Library

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

¬¬

¬¬ 211 年 第 9 周 211.2.21-211.2.27 216 年 第 27 周 : 土 地 市 场 冷 淡 商 品 房 成 交 有 所 上 涨 216 年 第 27 周 (216 年 6 月 27 日 216 年 7 月 3 日 ) 哈 尔 滨 市 有 5 块 经 营 性 供 应, 用 途 全 部 为, 主 要 位 于 平 房 216 年 第 27 周 (216 年 6 月 27 日 216

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 5 6 7 38 ( ) VS 3 100 ( ) MM MM ! 1 2003 VS . MM MM MM MM MM MM MM CS MM CS MM MM ! ? % Y O [ ] Y O [ ] [ ] [ ] MM MM

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

Copyright iresearch Inc. 1

Copyright iresearch Inc. 1 Copyright iresearch Inc. 1 Copyright iresearch Inc. 2 Copyright iresearch Inc. 3 Copyright iresearch Inc. 4 Copyright iresearch Inc. 5 Copyright iresearch Inc. 6 Copyright iresearch Inc. 7 Copyright iresearch

More information

untitled

untitled Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright

More information

iresearch Copyright iresearch Inc. 1

iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 2 iresearch Copyright iresearch Inc. 3 iresearch Copyright iresearch Inc. 4 iresearch Copyright iresearch Inc. 5 iresearch Copyright

More information

2002 Shintoukai Chinese Academy. All rights reserved 2

2002 Shintoukai Chinese Academy. All rights reserved 2 2002 Shintoukai Chinese Academy. All rights reserved 1 2002 Shintoukai Chinese Academy. All rights reserved 2 2002 Shintoukai Chinese Academy. All rights reserved 3 2002 Shintoukai Chinese Academy. All

More information

試卷一

試卷一 香 香 港 港 考 中 試 及 學 評 文 核 憑 局 年 月 版 的 暫 定 稿 中 國 歷 史 試 卷 一 考 試 時 間 : 兩 小 時 ( 樣 本 試 卷 本 各 試 設 卷 共 題 分, 兩 考 部 生 分 須, 於 第 每 一 部 部 分 分 各 為 選 必 答 答 題, 各 每 考 題 生 佔 均 須 作 分 答, 佔 分 第 二 部 分 分 甲 乙 兩 部, 5 0 3 1 2 5

More information

陳偉補習班環境介紹

陳偉補習班環境介紹 肆 各 专 业 科 目 可 报 考 学 校 一 览 表 选 考 : 经 济 学 ( 含 政 治 经 济 学 微 观 经 济 学 宏 观 经 济 学 ) 020201 国 民 经 济 学 8 北 京 光 华 管 理 学 020204 金 融 学 83 020205 产 业 经 济 学 4 清 华 经 济 管 理 学 020100 理 论 经 济 学 020200 应 用 经 济 学 6 020201

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

勞動條件檢查執行重點(雲林)_1050323 [相容模式]

勞動條件檢查執行重點(雲林)_1050323 [相容模式] 勞 動 條 件 檢 查 執 行 重 點 主 講 : 雲 林 縣 政 府 勞 工 處 大 鋼 105 年 新 工 時 規 定 修 正 重 點 現 行 工 時 制 度 工 資 促 進 就 業 平 等 措 施 2 105 年 新 工 時 規 定 修 正 重 點 1. 原 雇 主 應 置 備 勞 工 簽 到 簿 或 出 勤 卡 之 規 定 修 正 為 出 勤 紀 錄 修 正 第 一 項 法 定 工 時 2.

More information

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板, 家 事 生 活 小 技 巧 髒 襪 子 清 洗 撇 步 手 套 法 雙 手 套 進 襪 子 裡, 像 洗 手 套 一 樣, 利 用 手 指 左 右 揉 搓, 將 難 洗 的 污 垢 洗 乾 淨 彈 珠 法 在 洗 衣 網 內, 放 入 襪 子 以 及 約 十 顆 左 右 的 彈 珠, 利 用 彈 珠 與 襪 子 碰 撞 之 間, 將 髒 汙 從 纖 維 之 中 揉 搓 出 來 醋 水 法 在 水 盆

More information

穨2000010.PDF

穨2000010.PDF -1- -2- -3- -4- -5- -6- -7- -8- -9- -10- 89 9 7 7:30 1 9 9 7:30~9:30 1 2 3 2 9 1112 7:30~9:30 2000 1 2 3 3 10 5 1 9 2 10 5-11- 10 6 3 10 26 4 10 7 7:00 4 10 11 12 110 10 14 7 211 11 4 7 312 12 12 31 2000

More information

第一冊 第四章 分裂與再統一 班級 座號 姓吊

第一冊  第四章  分裂與再統一             班級    座號    姓吊 石 器 文 明 石 器 時 代 文 字 發 明 前 為, 文 字 發 明 以 後 進 入 第 三 冊 ( 第 1 章 從 史 前 到 春 秋 戰 國 ) 1. 遠 古 人 類 最 初 以 為 主 要 工 具, 考 古 學 家 把 這 個 時 代 稱 為 石 器 時 代 2. 又 根 據 石 器 製 作 方 式 的 不 同, 分 為 (1) 舊 石 器 時 代 -- (2) 新 石 器 時 代 --

More information

Microsoft Word - 完全手冊-課程.doc

Microsoft Word - 完全手冊-課程.doc 課 程 鍋 爐 具 1. 黑 鍋 : 大 鍋 ( 煮 飯 用 ) 小 鍋 ( 煮 菜 用 ) 湯 鍋 鍋 炳 大 中 小 鍋 蓋 賓 士 盤 *5 調 味 杯 ( 分 別 裝 鹽 糖 油 醬 油 沙 拉 油 ) 鍋 炳 + 大 鍋 蓋 = 平 底 鍋 2. 泥 + 水 或 牙 膏, 塗 在 鍋 底 下 煮, 鍋 底 就 不 會 被 火 燒 焦 黑 帳 篷 1. 包 含 內 帳 外 帳 2 根 營 柱

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

1994-2010 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 1994-2010 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

1994-2011 China Academic Journal Electronic Publishing House. All rights reserved.

1994-2011 China Academic Journal Electronic Publishing House. All rights reserved. 1994-2011 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 1994-2011 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net

More information

China Academic Journal Electronic Publishing House. All rights reserved.

China Academic Journal Electronic Publishing House. All rights reserved. 1994-2010 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 1994-2010 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net

More information

,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 1994-2010 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net ,,,,,, 1994-2010 China Academic Journal Electronic

More information

幻灯片 1

幻灯片 1 二 十 年 目 睹 之 怪 现 状 清 吴 沃 尧 (1866 1910) 著 吴 氏 原 字 茧 人 后 改 趼 人 广 东 南 海 人 因 居 佛 山 故 笔 名 我 佛 山 人 出 身 世 宦 之 家 因 家 道 中 落 20 多 岁 去 上 海 谋 生 后 客 居 山 东 又 远 游 日 本 1904 年 任 美 国 人 办 的 楚 报 主 笔 后 辞 职 返 沪 参 加 反 华 工 禁 约

More information

1994-2009 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 1994-2009 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Microsoft Word - EE-175_cn.doc

Microsoft Word - EE-175_cn.doc Engineer-to-Engineer Note EE-175 更 多 关 于 ADI 公 司 的 DSP 处 理 器 以 及 开 发 工 具 的 技 术 资 料, 请 访 问 网 站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如 需 技 术 支 持, 请 发 邮 件 至 processor.support@analog.com

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

劇情大綱 這是有關一對兄妹的故事 不過 所有的情節 必需先從一隻山羊說起 邱興傳 阿傳 在一次部隊的演習中撿到了一隻山羊 沒有烙印記號也 沒人招領 所以退伍時決定把羊帶回家 他攔了一台空的小貨車 央求對方 載他和羊到火車站去 也就在半路上 山羊講話了 山羊說 牠是鬼 卻被一個假裝是鬼的人給騙了 才逼

劇情大綱 這是有關一對兄妹的故事 不過 所有的情節 必需先從一隻山羊說起 邱興傳 阿傳 在一次部隊的演習中撿到了一隻山羊 沒有烙印記號也 沒人招領 所以退伍時決定把羊帶回家 他攔了一台空的小貨車 央求對方 載他和羊到火車站去 也就在半路上 山羊講話了 山羊說 牠是鬼 卻被一個假裝是鬼的人給騙了 才逼 電 影 變 羊 記 劇 本 2011 HUSISHI FILMS CO. All Rights Reserved. 劇情大綱 這是有關一對兄妹的故事 不過 所有的情節 必需先從一隻山羊說起 邱興傳 阿傳 在一次部隊的演習中撿到了一隻山羊 沒有烙印記號也 沒人招領 所以退伍時決定把羊帶回家 他攔了一台空的小貨車 央求對方 載他和羊到火車站去 也就在半路上 山羊講話了 山羊說 牠是鬼 卻被一個假裝是鬼的人給騙了

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP

Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP AstroII ISC 用户指南 Version 1.0 January 2010 Capital Microelectronics, Inc. CME 1 Contents 1 简介...1 2 ISC...1 2.1 原理示意图...2 2.2 ISC SFR 描述...2 2.3 ISC 步骤...3 3 在线更新...3 3.1 外部 SPI FLASH...3 3.2 内部集成 SPI FLASH...4

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生 共 青 团 工 作 简 报 2011 年 第 1 期 共 青 团 大 连 海 洋 大 学 委 员 会 团 学 要 闻 : 导 读 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 我 校 在 大 连 市 大 学 生 创 新 创 意 作 品 大 赛 中 取 得 佳 绩 校 团 委 召 开 学 生 干 部 思 想 动 态 座 谈 会 校 团 委 组 织 开 展 弘 扬 雷 锋

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

CDMA扫频仪测试说明

CDMA扫频仪测试说明 PCTEL CDMA 扫 频 仪 测 试 指 导 书 珠 海 世 纪 鼎 利 通 信 科 技 股 份 有 限 公 司 Copyright Dingli Commnunications Inc.,All rights reserved 版 权 所 有, 侵 权 必 究 1 目 录 一 PCTEL CDMA 扫 频 仪 介 绍... 3 二 测 试 前 的 准 备 工 作 ( 扫 频 仪 硬 件 连 接

More information

注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为

注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为 CME-M FPGA 简易版数据手册 3 年 5 月 京微雅格 ( 北京 ) 科技有限公司 注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为是完全可靠的

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

开 发 领 导 小 组 2016 年 3 月 16 日 发 布 实 8 水 利 部 办 公 厅 中 国 农 业 发 展 银 行 办 公 室 关 于 做 好 抵 押 补 充 贷 款 项 目 库 管 理 工 作 的 通 知 ( 水 利 部 中 国 农 业 发 展 银 行 2016 年 3 月 23 日

开 发 领 导 小 组 2016 年 3 月 16 日 发 布 实 8 水 利 部 办 公 厅 中 国 农 业 发 展 银 行 办 公 室 关 于 做 好 抵 押 补 充 贷 款 项 目 库 管 理 工 作 的 通 知 ( 水 利 部 中 国 农 业 发 展 银 行 2016 年 3 月 23 日 编 辑 : 汉 坤 研 究 室 2016 年 3 月 1 日 至 2016 年 3 月 31 日 新 法 规 投 资 公 司 1 国 家 发 展 改 革 委 商 务 部 关 于 印 发 市 场 准 入 负 面 清 单 草 案 ( 试 点 版 ) 的 通 知 ( 国 家 发 展 和 改 革 委 员 会 商 务 部 2016 年 3 月 2 日 发 布 实 2 工 业 和 信 息 化 部 关 于 进 一

More information

50-FB23-24_BES_V_ z1_ b

50-FB23-24_BES_V_ z1_ b CPX CPX-FB23, CPX-FB23-24 CC-Link 1411b [8042126] CPX-FB23, CPX-FB23-24 P.BE-CPX-FB23-24-ZH CC-Link Mitsubishi TORX : : : 1. 2 Festo P.BE-CPX-FB23-24-ZH 1411b CPX-FB23, CPX-FB23-24 CPX-FB23, CPX-FB23-24

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

untitled

untitled T.sonic 820 ...1...1... 1...2...3...4... 4...4...4...4...4...5... 5 OLED...6... 6...7...7...7...8...8...8...8...8...8...8...8...8...8...9...9 /...9 A-B :...9...9 T.sonic 820...9...10... 10 MP3, WMA WMA-DRM10...10...11...11...12

More information

压 力 传 感 器 血 氧 传 感 器 力 传 感 器 压 电 薄 膜 传 感 器 温 度 传 感 器 湿 度 传 感 器 超 声 波 传 感 器 位 置 传 感 器 加 速 度 传 感 器 气 体 流 量 传 感 器

压 力 传 感 器 血 氧 传 感 器 力 传 感 器 压 电 薄 膜 传 感 器 温 度 传 感 器 湿 度 传 感 器 超 声 波 传 感 器 位 置 传 感 器 加 速 度 传 感 器 气 体 流 量 传 感 器 MEAS 医疗设备专用传感器 北京赛斯维测控技术有限公司 美国 传感器中国代理 压 力 传 感 器 血 氧 传 感 器 力 传 感 器 压 电 薄 膜 传 感 器 温 度 传 感 器 湿 度 传 感 器 超 声 波 传 感 器 位 置 传 感 器 加 速 度 传 感 器 气 体 流 量 传 感 器 监 护 与 诊 断 传 感 器 手 术 与 治 疗 传 感 器 家 庭 护 理 与 保 健 传 感 器

More information

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63>

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63> 国 民 经 济 和 社 会 发 展 第 十 个 五 年 计 划 信 息 化 发 展 重 点 专 项 规 划 前 言 信 息 化 是 当 今 世 界 科 技 经 济 与 社 会 发 展 的 重 要 趋 势 信 息 技 术 已 广 泛 渗 透 到 经 济 和 社 会 的 各 个 领 域, 推 动 人 类 社 会 生 产 力 达 到 一 个 崭 新 的 高 度 全 球 信 息 化 开 创 了 世 界 经

More information

关于建立境内违法互联网站黑名单管理制度的通知

关于建立境内违法互联网站黑名单管理制度的通知 关 于 建 立 境 内 违 法 互 联 网 站 黑 名 单 管 理 制 度 的 通 知 各 省 自 治 区 直 辖 市 和 计 划 单 列 市 通 信 管 理 局 新 闻 办 教 育 厅 ( 教 委 ) 公 安 厅 ( 局 ) 国 家 安 全 厅 ( 局 ) 文 化 厅 ( 局 ) 卫 生 厅 ( 局 ) 工 商 行 政 管 理 局 广 播 影 视 局 新 闻 出 版 局 食 品 药 品 监 督 管

More information

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99 民 政 部 門 質 詢 第 13 組 質 詢 日 期 : 中 華 民 國 98 年 10 月 6 日 質 詢 對 象 : 民 政 部 門 有 關 各 單 位 質 詢 議 員 : 陳 嘉 銘 周 柏 雅 陳 碧 峰 李 文 英 顏 聖 冠 王 孝 維 洪 健 益 計 7 位 時 間 126 分 鐘 速 記 錄 98 年 10 月 6 日 速 記 : 何 采 穎 主 席 ( 李 議 員 慶 元 ): 現

More information

1 1200 1290 3 12 6 13 18 19 22 26 11 7 1 12 12 11 1883 1933 20 20 1911

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft Word - 95年報.doc

Microsoft Word - 95年報.doc 股 票 代 號 :5351 95 年 度 年 報 中 華 民 國 九 十 六 年 五 月 十 五 日 刊 印 本 年 報 查 詢 網 址 :http://newmops.tse.com.tw http://www.etron.com.tw 一 公 司 發 言 人 代 理 發 言 人 姓 名 職 稱 電 話 及 電 子 郵 件 信 箱 發 言 人 代 理 發 言 人 姓 名 : 徐 初 發 郎 文 郁

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

V6800/V6600 3D

V6800/V6600 3D V6800/V6600 3D V6600/V6800 3D R 2000 2 3 4 5 R 6 7 8 The VIP (Video Interface Port) Connector are used for third party add-on modules, such as video capture cards or television tuners. DDR: Double Data

More information