MCF51JxQxPBZHSR0.2.fm

Size: px
Start display at page:

Download "MCF51JxQxPBZHSR0.2.fm"

Transcription

1 飞思卡尔半导体产品简介 文件编号 :MCF51JxQxPBZHS 第 0.2 版, 7/2010 ColdFire+ 产品组合简介提供入门级 32 位 超低功率 低成本 小尺寸 与软件和引脚完全兼容的解决方案 1 ColdFire+ 产品组合简介 飞思卡尔的 ColdFire+ 32 位微控制器基于 ColdFire 版本 1 (V1) 内核, 并采用创新的 90 纳米薄膜存储器 (TFS) 闪存处理技术和 FlexMemory ColdFire+ 产品由 6 个系列组成, 提供小型化超低功耗功能, 且内置闪存可从 32 KB 扩展到 128 KB 系列产品提供丰富的外设, 包括 USB 高性能混合信号处理 硬件加密 创新的触摸感应界面 (TSI) 等等 这些关键使 ColdFire+ 微控制器非常适合用于便携式手持设备 无线节点 需要设备认证的外设 大楼门禁控制盘, 以及高级远程控制设备 目录 1 ColdFire+ 产品组合简介 目标应用 结构图 开发环境 修订历史 年飞思卡尔半导体 ( 中国 ) 公司版权所有 保留所有权利

2 目标应用 这 6 个系列的引脚和软件兼容性包括 : 创新的 FlexMemory, 可支持最高 2KB 的增强 EEPROM 或额外的 32KB 闪存 10 种灵活的低功耗模式, 可以延长电池寿命 : 运行模式下可达到 150µA/MHz, 最低功耗模式下可达到 500 na 16 位 ADC 和 12 位 DAC, 提供灵活强大的混合信号处理能力 密码加速单元 (CAU) 和随机数字生成器 (RNGB), 实现安全通信 集成的电容触摸感应和显示支持低功耗触摸感应界面 (TSI) 集成的 USB 2.0 全速器件 / 主机 /OTG 控制器, 支持 USB 连接和电池充电 同步音频接口 (SAI), 可与解码器和 I2S(Inter-IC Sound) 音频设备直接接口 1.71 V 到 3.6 V 的宽工作电压范围内闪存可编程, 模拟功能正常工作 多种定时器支持一般用途 PWM 和电机控制功能 GPIO 提供引脚中断功能 小型封装, 适合空间有限的应用 提供了丰富的免费软件, 包括飞思卡尔的 MQX RTOS 完整的 USB 类驱动程序 密码库 电机控制库等 ColdFire+ 器件系列包括 MCF51QU MCF51QH MCF51QF MCF51QM MCF51JU 和 MCF51JF 2 目标应用 图 1 ColdFire+ 器件可提供多种应用 下表列举了其中的一部分 2 飞思卡尔半导体

3 结构图 表 1 目标应用 目标应用 描述 大楼 家庭或工业自动化便携式消费设备医疗设备中档 / 高档 PC 外设配件通用应用 电子收费系统磁卡阅读器无线传感器 / 控制节点安全 / 访问控制 HVAC 控制照明自动化 MP3 播放器配件数字无线电 便携式医疗设备 基于 USB 的高品质音频配备触控盘 扬声器和麦克风的全功能键盘操纵杆 高档遥控器计量 / 测量仪器视频游戏配件 3 结构图 结构图显示了所有 ColdFire+ 器件系列的分类, 包括这些系列的共同及差异 飞思卡尔半导体 3

4 4 本节描述了整个 ColdFire+ 产品的, 同时介绍了不同系列 器件和封装的 图 2 4 飞思卡尔半导体

5 4.1 ColdFire+ 总结 下表总结了 ColdFire+ 器件系列的通用 要了解每个系列的独特, 请参见表 3 表 2 总结 详细说明 硬件特征 电压范围 闪存写入电压 封装 1.71 V 至 3.6 V 低至 1.71 V 32-QFN (5 mm x 5 mm) 44-QFN (5 mm x 5 mm) 48-LQFP (7 mm x 7 mm) 64-QFN (9 mm x 9 mm) 64-LQFP (10 mm x 10 mm) 温度范围, 大气温度 (TA) -40 C 至 105 C 温度范围, 结温度 (TJ) -40 C 至 125 C 内核和系统 中央处理单元 (CPU) CPU 最大频率 Dhrystone 2.1 性能中断控制器 (INTC) 直接内存访问 (DMA) 控制器低漏唤醒单元 (LLWU) 调试 高性能版本 1 (V1) ColdFire 内核, 带有 EMAC 和 DIV 硬件加速支持指令集修订 C (ISA_C) 50 MHz 从内部 RAM 执行时, 可达到 1.10 DMIPS/MHz 从闪存执行时, 可达到 0.99 DMIPS/MHz 支持 7 个优先级和软件中断确认 4 个独立的可编程通道提供了在系统内存和 I/O 外设之间直接传输数据的方法 16 个带有数字毛刺过滤器的外部唤醒引脚 4 个内部唤醒源 RESET 引脚在低电压 (LLS 和 VLLS) 模式下可作为复位唤醒 集成的 ColdFire DEBUG_Rev_B+ 接口支持单线 BDM 实时调试支持, 带有 6 个硬件断点, 可配置为暂停处理器或生成调试中断将压缩后的处理器状态和调试数据捕捉到跟踪缓冲器中片上跟踪缓冲器提供了可设置的开始 / 结束记录条件 电源管理 电源管理控制器 (PMC) 各种停止 等待和运行模式, 支持低功耗应用 : 运行和停止稳压模式, 支持低功耗 MCU 操作 多个低功耗和低漏停止模式外设时钟使能寄存器能够禁用未使用的模块的时钟, 进一步降低电流消耗通过可选跳变点提供低压预警和检测 3.3 V 稳压器 (VREG) 5 V 输入, 3.3 V 输出, 最大输出电流为 120 ma 存储器和存储器接口 总闪存 最高为 160 KB (128 KB + 32 KB) 飞思卡尔半导体 5

6 表 2 总结 详细说明 程序闪存 FlexNVM FlexRAM RAM 总随机存取存储器 (RAM) FlexMemory (FlexNVM 加 FlexRAM) 配置示例 1 低漏备用内存 外部总线接口 ( 迷你 FlexBus) 串行编程接口 (EzPort) 最高为 128 KB 最高为 32 KB 最高为 2 KB 最高为 32 KB Up to 34 KB (32 KB + 2 KB) 示例 1:32 KB 额外程序闪存, 无数据闪存或 EEPROM, 2 KB 额外 RAM 示例 2:32 KB 数据闪存, 2 KB 额外 RAM 示例 3: 最高 2 KB 非易失 增强 EEPROM 示例 4: 部分数据闪存和 EEPROM 在 LLS 和 VLLS3 功率模式下使用全部 RAM, 在 VLLS2 模式下为 1 KB RAM, 可选择使用 2 KB FlexRAM 在所有功率模式下使用 32 字节寄存器文件, 包括 VLLS1 模式 支持无缝连接到外部存储器和外设最多 20 个地址和 8 个数据线 ( 非复用模式 ) 最多 20 个地址和 16 个数据线 ( 复用模式 ) 2 个芯片选择线 支持闪存系统内编程 时钟 外部晶体振荡器或共振器 外部时钟 内部时钟基准 低频率范围 低功耗或全振幅 32 khz 至 40 khz 中等频率范围 低功耗或全振幅 1 MHz 至 8 MHz 高频率范围 低功耗或全振幅 8 MHz to 32 MHz DC 到 50 MHz 两个可修正的内部基准时钟 32 khz 2 MHz 内部 1 khz 低功耗振荡器 锁相环路 (PLL) 锁频环路 (FLL) 1 循环冗余校检 (CRC) 模块 COP 看门狗模块 1 最高 100 MHz VCO 系统安全和完整性 可由用户配置的 16/32 位硬件 CRC 发生器电路, 具有可设置的发生器多项式支持内存映像校验和 存储器 惟一的芯片标识 (ID) 号 闪存安全和块保护 128 位宽 模拟 模数转换器 (ADC) 1 个逐次逼近 (SAR)ADC 触摸感应输入 (TSI) 最大值为 16 6 飞思卡尔半导体

7 表 2 总结 详细说明 12 位数模转换器 (DAC) 1 高速比较器 (CMP) 1 个, 带有 6 位 DAC 可设置的电压参考 (VREF) 1 定时器 可设置的时延块 (PDB) 1 个 ADC 通道 ( 包含 2 个触发器 ), 1 个 DAC 通道以及 1 个面向 CMP 的脉冲输出 16 位灵活计时器 (FTM0) 最多 2 个通道, 带有正交解码器 16 位灵活计时器 (FTM1) 6 通道 16 位模数定时器 (MTIM) 1 载波调制器发射器 (CMT) 1 低功耗定时器 (LPT0 和 LPT1) 和外部提供的 khz 低功耗晶体振荡器一起实现时钟功能 1 通道 16 位脉冲计数器或周期性中断 通信接口 16 位串行外设接口 (SPI0) 1 个, 带有独立 8 字节发送和接收 FIFO 16 位串行外设接口 (SPI1) 1 个 ( 无 FIFO) 内部集成电路 (I2C) 最多 4 通用异步接收器 / 发射器 (UART0 和 UART1) 串行通信接口 (SCI) 支持使用 ISO 7816 协议与智能卡交互硬件流控制更高的波特率 (CPU 时钟 ) 独立数据 FIFO, 用于发送和接收 人机接口 (HMI) 增强的通用输入 / 输出 (EGPIO) 最多 48 引脚中断 /DMA 请求能力最多有 16 个 EGPIO 带有数字毛刺过滤器所有输入引脚都具备滞后和可配置的上拉 / 下拉器件所有输出引脚都具备可配置的斜率和驱动强度 快速通用输入 / 输出 (RGPIO) 2 最高 16 位的高速 GPIO 功能, 连接到处理器的本地 32 位总线, 可以更加快速地设置 清除和切换 中断请求引脚 (IRQ) 上升或下降边选择电平敏感度选项可配置的内部上拉 / 下拉定义为不可屏蔽的中断请求 NOTES: 1 FlexNVM 可以用作程序闪存 数据闪存, 或者与 FlexRAM 一起用作 EEPROM, 或者同时用作数据闪存和 EEPROM. 2 与 EGPIO 引脚共享 下表总结了每个系列的独特 飞思卡尔半导体 7

8 表 3 差异 QU QH QF QM JU JF 详细说明 系统安全和完整性 无 无 有 有 无 有 随机数字生成器 (RNGB) 同时支持真 (TRNG) 和伪随机数字 (PRNG) 生成器 无 无 有 有 无 有 密码加速单元 (CAU) 硬件加密 : DES AES{-128, -192, -256} SHA-1 和 SHA-256 MD5 支持更复杂的算法, 比如带有软件加密库 ( 使用前面的基本安全块 ) 的 3DES 无 有 无 有 无 无 16 位模数转换器 (ADC) 最多 18 个单端通道 最多 2 个差分通道 ( 差分对 ) 有无有无有有 12 位模数转换器 (ADC) 最多 19 个单端通道 模拟 通信接口 无 无 无 无 有 有 通用串行总线 (USB) 2.0(OTG) 控制 器 1 低速 全速主机 设备和 OTG 支持 无 无 无 无 有 有 USB 设备充电器检测 (DCD) 与 USB 电池充电规范版本 1.1 兼容, 并且支持可 设置的定时参数 无 无 无 无 有 有 I2S (Inter-IC Sound) / 同步音频接 1 口 (SAI) NOTES: 1 在所有 ColdFire+ 器件上使用 3.3 V 稳压器为片上 USB 收发器提供动力 稳压器输入支持通常由 USB VBUS 电源提供的 5 V 供电 4.2 随封装变化的 下面总结了随封装变化的通用系列的某些方面 有关随系列和封装变化的的类似总结, 请参见表 5 在下表的表头中, 器件 号指的是所有 ColdFire+ 器件系列的部件号中使用的后缀 : 32 表示 MCF51Jx32 和 MCF51Qx32 格式中的部件号 64 表示 MCF51Jx64 和 MCF51Qx64 格式中的部件号 128 表示 MCF51Jx128 和 MCF51Qx128 格式中的部件号 8 飞思卡尔半导体

9 表 4 的封装总结 器件 封装类型和引脚数 32-QFN 44-QFN 48- LQFP 44-QFN 64-LQFP/QF N 封装尺寸 (mm mm) 5x5 5x5 7x7 5x5 10x10/9x9 内核处理器 带有 EMAC 和 DIV 的 V1 ColdFire 内核 有 最大 CPU 频率 (MHz) 50 存储器和存储器接口 总闪存 (KB) 最大 48 最大 96 最大 60 闪存 (KB) FlexNVM (KB) FlexRAM (KB) 最大 1 最大 2 RAM (KB) 外部总线接口 (mini-flexbus) 无 8 个数据接口 /2 个 CS 接口 20 个地址接口 / 8 个数据接口 / 2 个 CS 接口 串行编程接口 (EzPort) 有 时钟 多功能时钟发生器 (MCG) FLL + PLL + 内部振荡器 (32 khz 或 2 MHz) 系统安全和完整性 循环冗余校检 (CRC) 1 COP 看门狗模块 1 模拟 12- 位 DAC 1 CMP ( 包括 6- 位 DAC) 外部输入 VREF 无 有 定时器 FlexTimer ( 带有四个正交解码器的 FTM0) 通道引脚 1 无 1 通道 2 通道 FlexTimer (FTM1) 通道引脚 载波调制器发射器 (CMT) 1 6 通道 飞思卡尔半导体 9

10 表 4 的封装总结 器件 封装类型和引脚数 32-QFN 44-QFN 48- LQFP 44-QFN 64-LQFP/QF N 封装尺寸 (mm mm) 5x5 5x5 7x7 5x5 10x10/9x9 可设置的时延块 (PDB) 1 16 位模数定时器 (MTIM) 1 低功耗定时器 (LPT) 2 通信接口 UART 2 SPI (16- 位 ) 2 ( 其中一个带有 FIFO) I2C 3 4 人机接口 (HMI) 总 GPIO 引脚 GPIO 数包括 RGPIO 引脚中断 RGPIO 触摸感应输入 (TSI) NOTES: 1 当封装中缺少 FTM 通道引脚时, 通道的内部功能仍然可用 下面总结了各系列和封装的 表 5 各系列和封装的差异 QU QH QF QM JU JF 有有有有有有封装类型和引脚数 32-QFN 44-QFN 48- LQFP 44-QFN 64-LQFP/Q FN 有有有有有有 封装尺寸 (mm mm) 5x5 5x5 7x7 5x5 10x10/9x9 系统安全和完整性 无 无 有 有 无 有 密码加速单元 (CAU) 无 无 有 有 无 有 随机数字生成器 (RNGB) 模拟 无 有 无 有 无 无 16- 位 ADC 单端 11 通道 12 通道 18 通道 无 有 无 有 无 无 16- 位 ADC 差分 2 通道 ( 差分对 ) 10 飞思卡尔半导体

11 表 5 各系列和封装的差异 QU QH QF QM JU JF 有有有有有有封装类型和引脚数 32-QFN 44-QFN 48- LQFP 44-QFN 64-LQFP/Q FN 有有有有有有 封装尺寸 (mm mm) 5x5 5x5 7x7 5x5 10x10/9x9 有 无 有 无 无 无 12- 位 ADC 单端 (Qx 系列 ) 无 无 无 无 有 有 12- 位 ADC 单端 (Jx 系列 ) 8 通道 11 通道 13 通道 11 通道 19 通道 6 通道 9 通道 11 通道 9 通道 17 通道 通信接口 无无无无有有 USB 2.0 OTG LS/FS 1 无无无无有有 USB DCD 无无无无有有 I2S/SAI NOTES: 1 在所有 ColdFire+ 器件上使用 3.3 V 稳压器为片上 USB 收发器提供动力 稳压器输入支持通常由 USB VBUS 电源提供的 5 V 供电 4.3 功率模式 V1 ColdFire CPU 使用两种主要的运行模式, 即运行和停止模式 STOP 指令可以调用停止和等待模式 CPU 不区分停止和等待模式 停止 等待和运行模式配置不同, 可以根据应用的需要提供更低功耗的 MCU ColdFire+ 器件系列的电源管理控制器 (PMC) 提供了多个功率选项 当不需要最大处理器频率时, VLPR ( 超低功耗运行 ) 运行模式可以极大地减少运行时功率 对应等待和停止模式分别为 VLPW ( 超低功耗等待 ) 和 VLPS ( 超低功耗停止 ) 模式 根据用户应用的停止需求, 可以使用各种停止模式实现某些逻辑和 / 或内存的状态保持 局部断电 完全断电 I/O 状态在所有模式下都可保持 下表对各种可用的功率模式进行了比较 表 6 MCU 功率模式 功率模式 描述 正常恢复方法 正常运行 允许 MCU 达到最大性能 - 正常等待 允许外设在 CPU 休眠期间正常工作, 从而降低功耗 中断 正常停止 VLPR ( 超低功耗运行 ) MCU 进入静止状态 低功耗模式, 支持 LVD 保护的同时保持所有寄存器内容 稳压器处于低功耗模式下, LVD 关闭 内部稳压器低功耗 ; 为内核提供最大 2 MHz 的时钟源, 为外设和闪存提供 1 MHz 的时钟源 1 中断 中断 VLPW ( 超低功耗等待 ) 与 VLPR 类似, CPU 处于休眠状态, 可以进一步降低功耗 中断 飞思卡尔半导体 11

12 表 6 MCU 功率模式 功率模式描述正常恢复方法 VLPS ( 超低功耗停止 ) MCU 处于静止状态, LVD 操作关闭 低功耗模式, ADC 和引脚中断仍可工作 LPT TSI CMP DAC 正常工作 中断 LLS ( 低漏停止 ) 状态保持功率模式 LLWU LPT TSI CMP DAC 正常工作 整个 RAM 供电 唤醒中断 VLLS3 ( 超低漏停止 3) LLWU LPT TSI CMP DAC 正常工作 整个 RAM 供电 唤醒复位 VLLS2 ( 超低漏停止 2) LLWU LPT TSI CMP DAC 正常工作 部分 RAM 断电 唤醒复位 VLLS1 ( 超低漏停止 1) LLWU LPT TSI CMP DAC 正常工作 整个 RAM 断电 唤醒复位 NOTES: 1 UART 部分外设使用内核时钟 下表总结了每个模块在低功耗模式下的运行 表下方提供了注释 表 7 低功耗模式下的模块运行 描述 模块 停止 VLPR VLPW VLPS LLS VLLSx 系统外设 CPU 时钟 关闭 最大 2 MHz 关闭 关闭 关闭 关闭 总线时钟 关闭 最大 1 MHz 最大 1 MHz 关闭 关闭 关闭 LLWU 静止 静止 静止 静止 FF FF 寄存器文件 供电 供电 供电 供电 供电 供电 DMA 静止 FF FF 静止 静止 关闭 电源管理 电源管理 ( 模式 ) 控制器 FF FF FF FF FF FF LVD 打开关闭关闭关闭关闭关闭 稳压器打开低功耗低功耗低功耗低功耗低功耗 VREG 可选可选可选可选可选可选 内存和内存接口 闪存 供电 最大 1 MHz 访问 ; 无编 程 低功耗低功耗关闭关闭 RAM1:1 KB 和外设 供电 供电 供电 供电 供电 在 VLLS3 和 VLLS2 下供电 RAM2: 31 KB 供电 供电 供电 供电 供电 在 VLLS3 下供电 FlexRAM 供电 供电 供电 供电 供电 在 VLLS2 下有选择地 供电 mini-flexbus 静止 FF FF 静止静止关闭 EzPort 关闭关闭关闭关闭关闭关闭 12 飞思卡尔半导体

13 表 7 低功耗模式下的模块运行 描述模块停止 VLPR VLPW VLPS LLS VLLSx 时钟 MCG 静止 :IRC 可选 ; 可选择开启 PLL, 但是需要门控 2 MHz IRC 2 MHz IRC 静止 :IRC 可选 静止 : 无时钟输出 关闭 OSC ( 系统 ) ERCLK 可选 ERCLK 限制 为 4 MHz 晶 体振荡器 ERCLK 限制为 4 MHz 晶体振荡器 ERCLK 限制为 4 MHz 晶体振荡器 限制为低频率范围 / 低功耗 OSC (32 khz) FF FF FF FF FF FF 1 khz LPO 打开打开打开打开打开打开 限制为低频率范围 / 低功耗 系统安全和完整性 CRC 静止 FF FF 静止静止关闭 RNGB 和 CAU 静止 FF 静止静止静止关闭 COP FF FF FF FF 静止关闭 模拟 ADC 只使用 ADC 内部时钟 FF FF 只使用 ADC 内部 时钟 静止 关闭 CMP HS 或 LS 比较 FF FF HS 或 LS 比较 LS 比较 LS 比较 6- 位 DAC ( 与 CMP 集成 ) 静止 FF FF 静止静止静止 VREF FF FF FF FF 静止 关闭 12- 位 DAC 静止 FF FF 静止 静止 静止 定时器 FTM 静止 FF FF 静止 静止 关闭 MTIM 静止 FF FF 静止 静止 关闭 PDB 静止 FF FF 静止 静止 关闭 LPT FF FF FF FF FF FF CMT 静止 FF FF 静止 静止 关闭 通信接口 UART 静止, 在边 缘唤醒 125 kbps 125 kbps 静止, 在边 缘唤醒 静止 关闭 SPI 静止 500 kbps 500 kbps 静止静止关闭 I 2 C 静止, 地址匹配唤醒 50 kbps 50 kbps 静止, 地址 匹配唤醒 静止 关闭 USB FS/LS 静止静止静止静止静止关闭 USB DCD 静止 FF FF 静止静止关闭 I 2 S/SAI FF, 使用外部时钟 1 最大 2 Mbps 最大 2 Mbps 最大 2 Mbps 2 静止 关闭 飞思卡尔半导体 13

14 表 7 低功耗模式下的模块运行 描述模块停止 VLPR VLPW VLPS LLS VLLSx 人机接口 (HMI) EGPIO 唤醒 FF FF 唤醒 静止, 引脚 锁定 关闭, 引脚锁定 RGPIO 静止 FF FF 静止静止关闭 TSI 唤醒 FF FF 唤醒唤醒唤醒 IRQ 唤醒 FF FF 唤醒 静止, 引脚锁定 NOTES: 1 使用外部生成的位时钟或外部生成的音频主时钟 ( 包括 EXTAL) 2 使用外部生成的位时钟或外部生成的音频主时钟 ( 包括 EXTAL) 关闭, 引脚锁定 FF 表示 全功能 在 VLPR 和 VLPW 模式中, 系统频率可能限制某些模块 静止 表示数字模块的寄存器状态和相关内存保持不变 上电 表示内存上电以保留内容 低功耗 表示闪存处于低功耗状态, 可以保留配置寄存器以支持更快速的唤醒 关闭 表示模块断电, 并在唤醒后处于复位状态 在 VLLS3 模式下 FlexRAM 始终上电 当用作模拟 EE 时,FlexRAM 在 VLLS2 模式下上电 当 FlexRAM 不用作模拟 EE, 用户可以选择 FlexRAM 在 VLLS2 模式下上电 处于停止或 VLPS 模式下的 CMP 支持高速或低速 外部引脚到引脚或外部引脚到 DAC 的比较 处于 LLS 或 VLLSx 模式下的 CMP 只支持低速 外部引脚到引脚或外部引脚到 DAC 的比较 在停止 VLPS LLS 或 VLLSx 模式下, 没有操作窗口 采样或过滤模式 使用 LLWU 模块时, 可用于该 MCU 的外部引脚不需要启用相关的外设功能 对这个功能的唯一要求是控制引脚 (GPOO 或外设 ) 配置为输入, 允许切换到 LLWU 4.4 模块列表 以下内容进一步补充了总结 内核和系统 位 ColdFire 版本 1 中央处理单元 (CPU) 最高 50 MHz 的 V1 Coldfire CPU, 1.71 V 至 3.6 V, 温度范围为 40ºC 至 105ºC 二级指令提取流水线 (IFP) ( 可选指令缓冲级 ) 二级操作数执行流水线 (OEP) Dhrystone 2.1 性能 : 从内部 RAM 运行时, 性能可达到每百万赫兹 1.10 DMIPS 从闪存运行时, 可达到每百万赫兹 0.99 DMIPS 支持指令集 C 修订版 (ISA_C) EMAC 和硬件除法模块 14 飞思卡尔半导体

15 调试 集成 ColdFire DEBUG_Rev_B+ 接口支持单线 BDM 实时调试支持, 带有 6 个硬件断点 (4 个 PC 断点 一个地址对断点和一个数据断点 ), 可配置到 1 或 2 级触发器中, 并可配置为暂停处理器或生成调试中断 将压缩的处理器状态和调试数据捕捉到片上跟踪缓冲器中, 从而提供程序 ( 和可选的从总线数据 ) 跟踪功能 片上跟踪缓冲器提供了可设置的开始 / 结束记录条件 调试资源可通过单引脚 BDM 接口或特权 WDEBUG 指令访问 V1 ColdFire 中断控制器 (CF1_INTC) 最多支持 44 个外设 I/O 中断请求和 7 个软件中断请求 ( 每个级别 1 个 ) 中断请求源和级别 / 优先级之间存在固定关联 ; 最多可将两个请求重新映射到最高的可屏蔽的级别 / 优先级 每个中断源使用唯一的矢量编号 支持服务程序中断确认 ( 软件 IACK), 改善系统性能 交叉开关 硬件互连矩阵, 连接总线主设备和从设备 二级流水线系统总线协议 支持将数据并发传输到所有总线从设备 可设置的固定优先级或循环仲裁 DMA 控制器 4 个独立的可编程 DMA 控制器通道提供了在系统内存和 I/O 外设之间直接传输数据的方法 DMA 控制器能够在运行和等待模式下工作 作为系统总线的 32 位主设备实现双址传输 数据传输格式 8 位 16 位或 32 位 由软件或外设发起的持续模式或周期挪用传输 从 16 个外设请求中为每个通道选择一个可设置的输入 电源管理 电源管理控制器 (PMC) 独立的数字 ( 经过稳压 ) 和模拟 ( 参考数字 ) 电源输出 可设置的低功耗模式 不需要输出电源去耦电容 通过内部模块和外部输入从低功耗模式下唤醒 飞思卡尔半导体 15

16 集成的加电复位 (POR) 在所有功率模式中均提供掉电检测 集成的低压检测 (LVD), 具有复位能力 可以选择的 LVD 跳变点 可设置的低压预警 (LVW) 中断功能 缓冲的带隙参考电压输出 出厂设置的带隙和 LVD 修正 1 khz 低功耗振荡器 (LPO) 稳压器 (VREG) 3.3 V 稳压输出可作为 MCU 主电源 稳压器的输出引脚为外部器件供电, 提供最高 120 ma 的电流 无外部 LDO 的成本 对于使用集成 USB 控制器的器件 : 通常由 USB VBUS 电源提供 5V 稳压器输入 3.3 V 稳压输出为片上 USB 收发器供电 存储器和存储器接口 片内存储器 在全工作电压和温度范围下, 对多达 160 KB 闪存执行读 / 编程 / 擦除操作 128 KB 的程序闪存阵列 使用 FlexMemory 提供额外的数据 / 程序空间, 或 2 KB 的增强型 EEPROM 32 KB FlexNVM 2 KB FlexRAM ( 如果未使用增强型 EEPROM 的话, 可用作普通 RAM) 为标准闪存阵列和 FlexMemory ( 包括数据和 EEPROM) 提供独立的块保护 32 KB 的随机存取存储器 (RAM) 32 字节寄存器文件, 在所有模式下不掉电 安全电路, 防止对 RAM 和闪存内容进行未授权访问 外部总线接口 (mini-flexbus) 两个独立的 可由用户设置的片选信号, 可以与外部 RAM PROM EPROM EEPROM 闪存和其他外设无缝接口 8 位和 16 位数据总线宽度, 提供复用或非复用的地址和数据总线的配置 字节 字和长字传输 片选时可设置地址建立时间 可设置的地址保持时间 16 飞思卡尔半导体

17 4.4.4 时钟 锁频环路 (FLL) 数字控制振荡器 (DCO), 具有可设置的频率范围 可以针对 kHz 的外部基准时钟源设置 DCO 频率 内部或外部基准时钟可作为 FLL 输入源 0.2% 分辨率, 使用 9 位修正的内部基准低频率范围时钟 使用 32 khz 内部基准时钟时全电压和温度有 2% 的偏差 ; 在有限的温度范围 (0 C 至 70 C) 内产生 1% 的偏差 锁相环路 (PLL) 电压控制振荡器 (VCO) 外部基准时钟被用作 PLL 源 模数 VCO 分频器相位 / 频率检测器 集成环路过滤器 内部基准时钟 (IRC) 生成器 32 khz 低频率范围时钟, 使用 9 个修正位确保准确性 2 MHz 快速时钟, 使用 3 个修正位 可以使用低频率范围时钟控制 FLL 可以选择低频率范围或快速时钟作为 MCU 的时钟源 可以用作其他片上外设的时钟源 来自晶体振荡器 (XOSC) 的外部时钟 (ERCLK) 可以用作 FLL 和 / 或 PLL 源 可以选择作为 MCU 的时钟源 具有复位请求能力的外部时钟监控 具有中断请求功能的锁检测器, 用于 PLL 自动修正机 (ATM), 用于修正低频率范围和快速内部基准时钟 提供了用于 FLL 和 PLL 的基准分频器 所选的时钟源可以 或 16 预分频 系统安全和完整性 密码加速单元 (CAU) 耦合执行单元, 通过 ColdFire 协处理器指令访问 硬件加速块支持以下加密算法 :DES AES-128 AES-192 AES-256 MD5 SHA-1 和 SHA-256 ( 支持更复杂的算法, 比如带有软件加密库的 3DES, 软件加密库使用基本的硬件加密块 ) 简单 灵活的编程模型 ; 提供了非常高效的 ASM 库 飞思卡尔半导体 17

18 随机数字生成器 (RNGB) 美国国家标准与技术局支持的伪随机数字生成器 ( 参考 : 支持数字签名标准中定义的密钥生成算法 ( 参考 : 集成的熵源能够为 RNGB 提供熵, 以获取种子 循环冗余校检 (CRC) 采用 16 位或 32 位 ( 可设置 ) 移位寄存器的硬件 CRC 发生器电路 可设置的初始种子值和多项式 误码检测功能可以检测所有单 双 奇误码及大多数多位误码 通过转置寄存器转置输入数据和 CRC 结果, 此为可选, 某些 CRC 标准需要 输出的最终 XOR ( 一些 CRC 的校检和的最终 XOR 使用协议指定值 ) COP 看门狗模块 独立的时钟源输入 ( 独立于 CPU/ 总线时钟 ) 在两个时钟源之间选择 : LPO 振荡器 总线时钟 模拟 位逐次逼近模数转换器 (ADC) 线性逐次逼近算法, 最高 16 位分辨率 输出模式 : 差分 16 位 13 位 11 位和 9 位模式, 使用 2 的补码的 16 位符号扩展格式 单端 16 位 12 位 10 位 8 位模式, 使用右对齐无符号格式 单次或连续转换 可配置的采样时间和转换速度 / 功率 转换完成和硬件平均完成标记和中断 可从最多四个源中选择输入时钟 在低功耗模式下运行, 降低噪声 使用异步时钟源降低噪声, 并可以选择输出时钟 可选择的异步硬件转换触发器, 具有硬件通道选择 自动比较各种可设置的中断值 温度传感器 硬件平均功能 可选的电压参考 18 飞思卡尔半导体

19 自动校准模式 位逐次逼近模数转换器 (ADC) 线性逐次逼近算法, 最高 12 位分辨率 单端 12 位 10 位 8 位模式, 使用右对齐无符号格式 单次或连续转换 可配置的采样时间和转换速度 / 功率 转换完成和硬件平均完成标记和中断 可从最多四个源中选择输入时钟 在低功耗模式下运行, 降低噪声 使用异步时钟源降低噪声, 并可以选择输出时钟 可选择的异步硬件转换触发器, 具有硬件通道选择 自动比较各种可设置的中断值 温度传感器 硬件平均功能 可选的电压参考 自动校准模式 High-Speed Comparator (CMP) 典型 5 mv 输入偏移 在启用模式下小于 40 μa, 在禁用模式下低于 1 na ( 可编程的基准生成器不包含在内 ) 固定的 CMP 滞后, 范围在 3 mv 至 20 mv 之间 最多 8 个可选比较器输入 ; 每个输入都可以按照极性顺序与其他任何输入进行比较 可选的比较器输出倒置 比较器输出支持 : 采样 窗口化 ( 适用于某些 PWM 过零检测应用 ) 使用外部采样信号或扩展的外设时钟执行数字过滤 在比较器输出的上升边 下降边或任意边触发中断 两种性能模式 : 用更高的功率获得更短的转换延迟 低功耗, 更长的转换延迟 在所有 MCU 功率模式下运行 位数模转换器 (DAC) 在高速比较器上集成 6 位分辨率 飞思卡尔半导体 19

20 片上可设置的电压基准输出 可选择的电源基准源 少于 20 μa 电能消耗 在所有 MCU 功率模式下运行 位数模转换器 (DAC) 12 位分辨率 在输入码字 范围内确保 6 西格玛单调性 高速和低速转换 : 高速转换速率为 1 μs, 低速转换速率为 2 μs DAC 可驱动 3 kohm, 400 pf 负载 选择同步或异步更新 自动模式, 允许 DAC 生成自己的输出波形, 包括方形 三角波形和锯齿波形 自动模式, 允许设置周期 更新速率和范围 DMA 支持, 具有可配置的 水印 级别 电压参考 (VREF) 可设置的修正寄存器, 以 0.5mV 为单位递增, 在复位后自动加载室内温度值 可设置的模式选择 : 关闭 带隙输出 ( 或稳定延迟 ) 低功耗缓冲模式 紧稳压缓冲模式 室温下名义输出电压为 1.2 V, 40 ppm/ C 特定引脚输出 紧稳压模式下提供最大 100 μv/ma 负载调整 电源抑制比为 0.1 mv DC 和 -60 db AC 定时器 FlexTimer (FTM) 可选择的 FTM 源时钟 可设置的预分频器 16 位计数器支持自由运行或初始 / 最终值, 并且可向上或上 / 下计数 输入捕捉 输出比较 边缘对齐和中央对齐 PWM 模式 输入捕捉和输出比较模式 FTM 通道可以成对工作, 采用相同的输出, 或者采用一主一辅输出或采用两个单独的通道 ( 具有独立的输出 ) 20 飞思卡尔半导体

21 死区时间插入可以提供给每个互补对 生成硬件触发条件 软件控制 PWM 输出 配置通道极性 对输入捕捉 基准比较 溢出的计数器或检测到的故障情况设置中断 载波调制器发射器 (CMT) 4 种运行模式 时间模式, 独立控制高电平和低电平时间 基带 频移键控 (FSK) 直接通过软件控制 IRO 引脚 在时间 基带和 FSK 模式下扩展空间操作 可选择的输入时钟分频 在循环结束时中断 能够禁用 IRO 引脚而仅用作定时器中断 支持 DMA Programmable Delay Block (PDB) 16 位分辨率, 带有预分频器 触发事件信号将启动计数器 支持两个延迟输出信号, 每个信号均可独立控制延迟 两个延迟触发信号也可以或运算, 分时触发事件 脉冲输出信号可用于 CMP 的窗口信号, 也可以输出到管脚, 用于功率因子校正 支持连续脉冲输出或单次模式 支持旁路模式 可以独立启用每个输出 7 种可能的触发事件源 模数定时器 (MTIM) 16 位上升计数器 自由运行或 16 位模数 可以使用软件控制溢出中断 计数器复位位 (TRST) 计数器停止位 (TSTP) 4 个软件可选时钟源, 用于预分频器输入 : 系统总线时钟 - 上升边 飞思卡尔半导体 21

22 固定频率时钟 (XCLK) - 上升边 TCLK 引脚上的外部时钟源 - 上升边 TCLK 引脚上的外部时钟源 - 下降边 9 个可选的时钟预分频值 : 时钟源分频数为 或 Low Power Timer (LPT) 作为定时器或脉冲计数器运行 可选择的时钟, 用于预分频器 / 故障过滤器 1 khz 内部 LPO 外部低功耗晶体振荡器 内部基准时钟 ( 低漏功率模式下不可用 ) 二级外部基准时钟 ( 例如, 32 khz 晶体振荡器 ) 可配置的故障过滤器或预分频器, 使用 5 位计数器 对定时器比较生成中断 对定时器比较生成硬件触发条件 通信接口 USB On-the-Go 控制器 USB 1.1 和 2.0 兼容的全速设备 / 主机控制器 On-the-Go 协议逻辑 16 双向端点 DMA 或 FIFO 数据流接口 低功耗设计 USB 设备充电器检测 (DCD) 遵从最新的行业标准规范, USB 电池充电规范, 版本 1.1 与使用以下方式供电的系统兼容 : 可充电的电池 不可充电电池 外部 3.3 V LDO 稳压器, 由 USB 供电或直接由使用内部稳压器的 USB 供电 可设置的事件定时器, 提供了灵活性, 并且可以更好地兼容未来的标准更新 最低配置要求 : 设置时钟频率并启用模块 可设置的默认值, 确保与 USB 电池充电规范版本 1.1 兼容 22 飞思卡尔半导体

23 Inter-IC Sound (I 2 S) / 同步音频接口 (SAI) 支持带有帧同步的全双工串行接口, 比如 I 2 S AC97 和 CODEC/DSP 接口 两个独立的位时钟 / 帧同步对 4 个可由软件配置的发送或接收通道, 可以由软件分配给任何位时钟 / 帧同步对 每个通道配置独立的 16 码字 x 32 位 FIFO 在出现 FIFO 错误后重启 低功耗模式下运行 通用异步接收器 / 发射器 (UART) 支持使用 ISO 7816 协议与智能卡交互 全双工运行 标准标记 / 空间不归零 (NRZ) 格式 t13 位波特率选择, 支持 1/32 小数分频 可编程的 8 位或 9 位数据格式 单独启用发射器和接收器 可设置的发射器输出极性 可设置的接收输入极性 13 位分隔符选项 11 位分隔符检测选项 对每次发射和接收提供一个数据码字的可参数化的缓冲器支持 发射和接收采用独立的 FIFO 结构 两个接收器唤醒方式 : 空闲行唤醒 地址标记唤醒 接收器具有地址匹配, 可以降低地址标记唤醒 ISR 开销 能够选择 MSB 或 LSB 作为线上的第一个位 对要求发送 (RTS) 和清除发送 (CTS) 信号提供硬件流控制支持 中断驱动操作, 带有 11 个标记 : 位于水印或水印以下的发射器数据缓冲器 发送完成 位于水印或水印以上的接收器数据缓冲器 空闲接收器输入 接收器溢出 接收器数据缓冲器下溢 噪声错误 帧错误 奇偶校验错误 飞思卡尔半导体 23

24 接收引脚上的电平激活 LIN break 检测 接收器帧错误检测 硬件奇偶校验生成和检查 1/16 位噪声检测 5 种 DMA 请求 内部集成电路 (I 2 C) 与 I 2 C 总线标准和 SMBus 规范版本 2 兼容 最大总线负载高达 100 kbps 多主控操作 通过软件设置 64 个不同的串行时钟频率 可设置的从地址和故障输入过滤器 中断驱动的单字节数据传输或 DMA 传输 仲裁丢失中断, 自动模式从主模式切换到从模式 呼叫地址标识中断 总线繁忙检测广播和 10 位地址扩展 处理器处于低功耗模式时通过地址匹配唤醒 串行外围接口 (SPI) 主模式和从模式 全双工 三线同步传输 可编程的传输比特率 双缓冲传输和接收数据寄存器 串行时钟相位和极性可调 从选择输出 模式故障错误标记可触发 CPU 中断 在等待模式下控制 SPI 操作 可选择切换 MSB 优先或 LSB 优先 可编程的 8 位或 16 位数据传输长度 接收数据缓冲硬件匹配 在高速传输大量数据时使用 64 位 FIFO 模式 ( 仅 SPI0) 同时支持 DMA 发送和接收 24 飞思卡尔半导体

25 开发环境 人机接口 (HMI) 触摸感应输入 (TSI) 支持最多 16 个电容输入触摸感应引脚, 带有单独的结果寄存器 使用可设置的上限和下限阈值自动检测电极电容变化 自动定期扫描单元, 对运行和低功耗模式使用不同的占空比 完全支持键盘 滚轮 滑条 ( 使用飞思卡尔的触摸感应软件库套件 ) 在所有低功耗模式下运行 : 等待 停止 VLPR VLPW VLPS LLS VLLSx 从低功耗模式下唤醒 MCU 的功能 可配置的中断 : 扫描结束或溢出中断 TSI 错误中断 :Vdd/Vss 与按键短路或转换溢出 独立运行, 即使在低功耗模式下也不需要任何外部晶体振荡器 可以配置对每个电极电容测量的积分时间, 从 1 到 32 倍 可设置的电极振荡器和 TSI 基准振荡器, 实现高敏感度 较短的扫描时间和低功耗 每个电极只有一个引脚, 不需要外部硬件 增强的通用输入 / 输出 (EGPIO) 高达 16 个输入引脚上具有可设置的故障过滤器, 并且中断在所有输入引脚上具有可选极性 所有输入引脚都具备滞后和可配置的上拉 / 下拉器件 所有输出引脚都具备可配置的斜率和驱动强度 独立引脚值寄存器, 在数字引脚上读取逻辑电平 快速通用输入 / 输出 (RGPIO) 高速引脚的切换率通常为 1.5x 至 3.5x, 快于映射到外设总线的类似引脚 16 位高速 GPIO 功能, 连接到 ColdFire 内核的本地 32 位总线 所有读写操作在一个数据周期内完成, 实现零等待状态响应 数据位可以直接访问或通过备用地址访问, 提供设置 清除和切换功能 独特的数据方向和引脚启用控制寄存器 5 开发环境 飞思卡尔的 ColdFire 产品获得了现有工具 第三方开发人员以及软件厂商的广泛支持 ColdFire+ MCU 系列从以下开发资源中获益 飞思卡尔半导体 25

26 开发环境 5.1 飞思卡尔的 Tower System 支持 飞思卡尔的 Tower System 是一个针对 8 位 16 位和 32 位微控制器的模块化开发平台, 支持通过快速原型化实现高级开发 Tower System 具有多个开发板或模块, 为设计师提供了从入门级到高级微控制器开发的支持 图 3 表 8 ColdFire+ MCU 系列的 Tower 模块 微控制器模块 ColdFire+ JF 系列 MCU 模块 ColdFire+ QM 系列 MCU 模块 JF 系列 128 KB 闪存 MCU, 使用 64 LQFP 封装板载 BDM 调试接口访问所有 QM 系列 128 KB 闪存 MCU, 使用 64 LQFP 封装板载 BDM 调试接口访问所有 26 飞思卡尔半导体

27 开发环境 5.2 CodeWarrior Development Studio 飞思卡尔的 CodeWarrior Development Studio for Microcontrollers v10.x 将 RS08 HCS08 和 ColdFire 架构的开发工具集成到一个基于 Eclipse 开放开发平台的单一产品中 Eclipse 提供了一个用于构建软件开发环境的出色框架, 并且成为由众多嵌入式软件厂商使用的标准框架 Eclipse IDE 3.4 编译系统, 包含针对 RS08 HCS08 和 ColdFire 处理器的优化的 C/C++ 编译器 Eclipse C/C++ 开发工具 (CDT) 扩展, 提供了用于故障排除和修复嵌入式应用的高级 表 9 CodeWarrior 10.x 独特的 客户获得的好处 详细说明 MCU 更改向导 能够针对新处理器轻松地重新配置项目 只需选择一个新的器件 ( 从相同或不同架构中 ) 并选择一个默认调试接口, CodeWarrior 工具套件就会用正确的编译工具和支持文件为新器件自动重新配置项目 编译器 汇编器 链接器 标头文件 矢量表 库 链接器配置文件 飞思卡尔 Processor Expert 可以在初始设计阶段解决硬件层中的问题 将易于使用的基于组件的应用创建与专家知识系统相结合 CPU 片上外设 片外外设和软件功能全部被封装到一个嵌入式组件中 通过修改组件的属性 方法和事件, 可以量身定制每个组件的功能, 从而满足应用需求 在编译项目时,Processor Expert 将自动生成高度优化的嵌入式 C 代码, 并将源文件放到项目中 图形用户界面 : 允许根据所需的功能指定应用 自动代码生成器 : 创建经过测试的 优化的 C 代码, 这些代码针对应用需求和所选的飞思卡尔器件进行了调优 内置知识库 : 快速标记资源冲突和错误设置, 从而在设计周期的早期捕捉到错误 组件向导 : 允许创建用户特定的 独立于硬件的嵌入式组件 为片上跟踪缓冲器提供跟踪和配置支持 复杂的类似模拟器的调试功能, 不需要额外硬件 CodeWarrior 配置和分析工具提供应用可见性, 它在处理器之上运行, 能够识别运行问题 支持具有片上跟踪缓冲器 (HCS08, V1 ColdFire) 的架构 允许设置跟踪点以启用和禁用跟踪输出 可以同时遍历跟踪数据和对应的源代码 允许将跟踪数据导出到 Microsoft Excel 文件 5.3 飞思卡尔的 MQX 软件解决方案 日益复杂的行业应用以及扩展的半导体功能促使嵌入式开发人员采用结合了可靠硬件和软件平台的解决方案 这些解决方案帮助加快面市速度并改进应用开发 飞思卡尔半导体为 ColdFire 和 ColdFire+ MCU 用户提供了 MQX 实时操作系统 (RTOS), 带有 TCP/IP 和 USB 软件栈和外设驱动程序, 用户不需要支付额外的费用 飞思卡尔 MQX 软件解决方案与飞思卡尔硅片产品相结合, 使飞思卡尔成为能够提供硬件 软件 工具和服务的综合供应商 飞思卡尔半导体 27

28 开发环境 图 4 飞思卡尔 MQX RTOS 的关键优势包括 : 小尺寸内存占用 :RTOS 专门针对嵌入式系统的速度和尺寸效率设计 它实现了真正的实时性能, 采用汇编代码手工优化上下文切换和中断程序 基于组件的架构 : 为功能丰富的 RTOS 内核提供额外的可选服务 飞思卡尔的 MQX RTOS 包含 25 个组件 (8 个内核组件和 17 个可选组件 ) 只在需要时连接组件, 防止未使用的功能增加内存占用 全功能的和轻量级的组件 : 提供了关键组件的全功能版本和轻量级版本, 以进一步控制大小 RAM/ROM 利用率和性能选项 实时的 基于优先级的抢占式多线程处理 : 允许高优先级线程始终满足其时间要求, 不管当前有多少其他线程与其争用 CPU 时间 调度 : 开发人员不需要花费精力去创建或维护高效的调度系统和中断处理, 从而加快开发速度 代码重用 : 提供一个具有简单 直观的 API 的框架, 适用于众多飞思卡尔嵌入式处理器产品 28 飞思卡尔半导体

29 开发环境 快速启动 : 确保应用在硬件复位后能够快速运行 简单消息传递 : 消息可以来自一个系统池或一个专用池, 根据紧急状态或用户定义优先级发送, 可以广播或与某任务相关 为获得最大程度的灵活性, 接收任务可以在与发送任务相同的 CPU 上运行, 或者在同一系统的不同 CPU 上运行 图 额外提供的软件栈 硬件密码加速单元 (CAU) 免费赠送的软件驱动库 DES AES-128 AES-192 AES-256 SHA-1 SHA-256 和 MD5 免费赠送的 ColdFire/ColdFire+ 数字信号处理库支持 EMAC 硬件单元 免费赠送的裸机 / 无 OS 的 USB 堆栈配备了个人保健卡器件 (PHDC) 大容量存储 (MSC) 通信器件 (CDC) 人机交互器件 (HID) 和音频类 触摸感应软件套件 飞思卡尔嵌入式 GUI Bootloaders (USB RF 串行 ) 飞思卡尔半导体 29

30 修订历史 6 修订历史 下表总结了自发布前一版本之后出现的更新 表 10 修订历史 修订 日期 重要变化 /2010 在表 2 中, 更新了支持的大气温度范围 30 飞思卡尔半导体

31 修订历史 飞思卡尔半导体 31

32 如何联系我们 : 主页 : Web 支持 : 美国 / 欧洲或未列出的地方 : 飞思卡尔半导体公司技术信息中心, EL East Elliot Road Tempe, Arizona or 欧洲 中东和非洲 : Freescale Halbleiter Deutschland GmbH 技术信息中心 Schatzbogen Muenchen, 德国 ( 英国 ) (English) ( 德国 ) ( 法国 ) 日本 : 飞思卡尔半导体 ( 日本 ) 有限公司总部 ARCO Tower 15F 1-8-1, Shimo-Meguro, Meguro-ku, 东京 日本 or support.japan@freescale.com 本文件中的信息仅供系统和软件实施者使用飞思卡尔半导体产品 本文没有授予根据本文信息设计或制造任何集成电路的明示或暗示的版权许可 飞思卡尔半导体保留对任何产品作出更改的权利, 恕不另行通知 飞思卡尔半导体公司不就其产品针对任何特定用途的适用性作出保证 陈述或担保, 也不承担与应用或使用任何产品或电路有关的责任, 并明确拒绝承担任何以及所有责任, 包括但不限于后继或附带的损失 飞思卡尔半导体数据手册和 / 或规范中可能提供了 典型 ± 参数, 这些参数会根据不同的应用和实际性能随时间变化 所有运行参数, 包括 典型 参数, 必须由客户的技术专家对每个客户应用进行验证 飞思卡尔半导体不会转让任何与其专利权或其他权利有关的许可 飞思卡尔半导体没有设计 或意图或授权将产品用作人体外科植入物的系统组件, 或用于支持或维持生命的其他应用, 或用于任何可能因为飞思卡尔半导体产品故障而引起人身伤害或死亡的应用 如果买方购买或将飞思卡尔半导体产品用于此类非意图的或非授权的应用, 买方应当赔偿并保证飞思卡尔半导体及其官员 雇员 子公司 附属公司和经销商免于因此类非意图或非授权使用而直接或间接产生的所有索赔 费用 损害 支出以及合理的律师费, 以及与此类非意图或非授权使用有关的人身伤害或死亡索赔, 即使此类索赔声称飞思卡尔半导体在部件设计或制造方面存在疏忽 Freescale 和 Freescale 标识是飞思卡尔半导体公司的商标 所有其他产品或服务名称是其各自所有者的财产 2010 年飞思卡尔半导体公司版权所有 保留所有权利 亚太地区 : 飞思卡尔半导体 ( 中国 ) 有限公司京汇大厦 23 层建国路 118 号朝阳区北京 中国 support.asia@freescale.com 如果仅需要印刷品 : Freescale Semiconductor Literature Distribution Center 或 Fax: LDCForFreescaleSemiconductor@hibbertgroup.com 文件编号 :MCF51JxQxPBZHS 版本第 0.2 版 7/2010

MCF51JxQxPBZHS

MCF51JxQxPBZHS 飞 思 卡 尔 半 导 体 产 品 简 介 文 件 编 号 :MCF51JxQxPBZHS 第 0.2 版, 7/2010 ColdFire+ 产 品 组 合 简 介 提 供 入 门 级 32 位 超 低 功 率 低 成 本 小 尺 寸 与 软 件 和 引 脚 完 全 兼 容 的 解 决 方 案 1 ColdFire+ 产 品 组 合 简 介 飞 思 卡 尔 的 ColdFire+ 32 位 微 控

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

K60PBZHS

K60PBZHS 飞思卡尔半导体产品概述 文档号 : K60PBZHS 第 2 版, 2010 年 11 月 K60 系列产品概述适用于所有 K60 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性

More information

Freescale Semiconductor

Freescale Semiconductor Freescale Semiconductor, Inc. Document Number: KS22PB 产品简介 Rev. 2, 03/2016 KS22 产品简介 支持 128 KB 至 256 KB Flash 和 64 KB SRAM 的 120 MHz 器件 1. KS22 概述 KS22 MCU 构建于 ARM Cortex -M4 处理器上, 具有低功耗和高存储器密度, 提供多种封装

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

K20系列产品概述

K20系列产品概述 飞思卡尔半导体产品概述 文档号 : K20BZHS 第 2 版, 2010 年 11 月 K20 系列产品概述适用于所有 K20 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

K30PBZHS

K30PBZHS 飞思卡尔半导体产品概述 文档号 : K30ZHS 第 2 版, 2010 年 11 月 K30 系列产品概述适用于所有 K30 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

系列产品简介

系列产品简介 Freescale Semiconductor Document Number:K60PB Product Brief Rev 8, 5/2011 K60 系列产品简介支持所有 K60 器件 1 Kinetis 产品组合 Kinetis 是业界扩展能力最强的低功耗混合信号 ARM Cortex -M4 MCU 产品组合 该产品组合的第一阶段包括 5 个 MCU 系列, 提供 200 多款引脚 外设和软件兼容的器件

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

STM32 in arm seminar

STM32 in arm seminar 增强的 STM32 家族, 助您扩展应用领域 超值型 STM32F100, 增强产品的竞争力超低功耗 STM32L, 提高能源的使高能源的使用效率 超值型 STM32F100, 增强产品的竞争力 ST 为客户提供新的 STM32 选择 新增 1MB 闪存超高密度的 STM32, 提高 STM32 家族的可扩展性 新增低成本 STM32 超值型, 将 STM32 的优越性能带向 8/16 位应用领域

More information

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 为什么要发布 STM32F100 超值型 客户更容易在成本敏感的应用上使用 STM32 一些应用案例 STM32 帮你解决平台问题 太阳能逆变 负载控制 集中器 面临的挑战 : 1. 合适的平台 2. 扩展性和移植性 3. 便于维护 4. 可靠 单相表 归功于 STM32 F100 高性价比, 在单相表开始使用 国网中标

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

电机控制方案的发展趋势lastpart.pptx

电机控制方案的发展趋势lastpart.pptx MC56F82xx 飞思卡尔创新的 DSC 产品 叶万富 应用工程师 1 MC56F82xx DSC 产品系列 精准 快速 强劲新推出的 MC56F82xx 数字信号控制器 (DSC) 能为更平稳 更优良的电源和电机控制应用提供经济有效的解决方案 增强的高精度 PWM 模块 520ps 分辨率 ( 占空比和周期 ) 达到 The 8 个支持中心对齐 explanation, that 边沿对齐和非对称

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Kinetis L系列功耗管理

Kinetis L系列功耗管理 Freescale Semiconductor Document Number: AN5088 应用笔记 Rev 0, 3/2015 Kinetis L 系列功耗管理如何使用 Kinetis L 系列低功耗模式 1 简介 Kinetis L 微控制器系列为对功耗敏感型市场提供超低功耗特性 在该 MCU 系列中实现了多种低功耗模式以满足这一需求 本应用笔记向用户展示了每种功耗模式的详细信息, 并在 SDK

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

做 出 选 择 并 不 象 一 些 32 位 微 控 制 器 供 应 商 所 希 望 让 您 相 信 的 那 样 容 易, 特 别 是 移 植 到 全 新 的 32 位 架 构 大 步 前 进 的 设 计 师 通 常 面 临 全 新 的 指 令 集 不 同 的 设 计 方 法 论 没 有 后 向 兼

做 出 选 择 并 不 象 一 些 32 位 微 控 制 器 供 应 商 所 希 望 让 您 相 信 的 那 样 容 易, 特 别 是 移 植 到 全 新 的 32 位 架 构 大 步 前 进 的 设 计 师 通 常 面 临 全 新 的 指 令 集 不 同 的 设 计 方 法 论 没 有 后 向 兼 Flexis AC 系 列 8 位 及 32 位 兼 容 型 器 件 在 整 个 家 电 市 场 实 现 高 效 能 解 决 方 案 作 者 :Jim Bridgwater 飞 思 卡 尔 半 导 体 微 控 制 器 解 决 方 案 部 Fraser McHenry 摘 要 Flexis AC 系 列 扩 展 了 Controller Continuum 连 接 点, 是 Flexis 系 列 的

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

untitled

untitled 2010 () 1. () (2000)062920001225 310106000113265 10012922 220118 2. 3. 4. 4.1 2009112006215 4.2 111231 4.3 4.4 4.5 1 2010 () 4.5.1 4.5.1.1 4.5.1.2 4.6 4.7 4.7.1 4.7.1.1 4.7.1.1.1 4.7.1.1.2 4.7.1.1.3 4.7.1.1.4

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

微控制器市场及应用 分析和预计

微控制器市场及应用 分析和预计 STM32 全面释放创造力, 服务今日, 开创未来 微控制器市场及应用 分析和预计 微控制器整体市场分析 3 微控制器在工业和医疗应用市场趋势 s) n ilio M $ S (U s e u n v e e R 7,000.0 6,000.0 5,000.0 4,000.0 3,000.0 2,000.0 1,000.0 Industrial & M edical revenues trend (source:

More information

Presentation Title

Presentation Title STM32 F0 系列 传承 STM32 基因, 打造亲民价格 STM32 系列 : 核心战略产品 STM32 微控制器是意法半导体的核心战略产品. ARM Cortex -M 内核已经成为 32 位微控制器标准 当 2007 年发布时,STM32 是业界领先半导体厂商发布的首款基于 Cortex-M 微控制器. STM32 战略是创建一个相互兼容的产品平台, 承诺长期 ( 超过 10 年 ) 供货的服务

More information

答辩用模板

答辩用模板 智能控制大赛技术培训 2008 年 6 月 30 日 主要内容 首届智能控制比赛回顾 本届比赛任务 控制核心 信号检测 电机驱动 学生完成的项目 学生完成的项目 参赛队组成 : 每队 3 人, 年级不限 比赛场地 :240cm X 240cm 提供器材 : 飞思卡尔 DSP56F8013 评估板 1 套 ( 可以不使用该评估板, 但是不能使用除 DSP56F8013 以外任何 CPU)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

NXP Powerpoint template confidential 16:9 Widescreen

NXP Powerpoint template confidential 16:9 Widescreen 无线 MCU 概览 FTF-INS-N1981 2016 年 9 月 议程 低功耗无线 MCU 市场概况 恩智浦无线 MCU 产品组合 802.15.4 无线 MCU 双协议无线 MCU BLE 无线 MCU 1 1 物联网提供了大量增长机遇 物联网市场预计每年增长 25% 从最初关注于消费电子产品领域 ; 到今年进一步探索 B2B 物联网半导体市场 ~280 亿美元 复合年均增长率 (15-19)

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

NXP Powerpoint template confidential 16:9 Widescreen

NXP Powerpoint template confidential 16:9 Widescreen 利用智能住宅自动化解决方案开发轻触连接体验开发轻触连接体验 SHASHANK GOEL SHASHANK GOEL PL 智能家居产品营销经理 PL 智能家居产品营销经理研讨会 FTF-HMB-N1982 研讨会 FTF-HMB-N1982 2016 年 5 月 18 日 2016 年 9 月 29 日 议程 市场化 恩智浦的集成技术可实现更出色的使用案例 轻触即可连接 无线产品组合 支持 1 物联网提供了大量增长机遇

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

EFM8BB Microcontroller Family Highest Performance Value MCUs

EFM8BB Microcontroller Family  Highest Performance Value MCUs 独特解决方案满足低功耗应用 Alan Pang 2016-Aug-24 为什么低功耗很重要? 环保 更好的用户体验 节约成本 在某些应用更换电池不切实际 3 低功耗要求 简单的电池供电设备 加密设备 穿戴装置 4 总线供电的网络设备 工业传感器 / 监控器 EFM32 Pearl & Jade Gecko 处理器和内存 Cortex-M4 with FPU or Cortex-M3 Up to 40

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx XMC4500 2012 XMC4000 6 11 6 13 6 15 6 18 6 20 6 22 XMC4000 IDE, C-Compilers, Debuggers, Analysis Utilities HW Debuggers Flash Programming Altium Atollic Keil IAR Systems Wind River Hitex PLS isystems Lauterbach

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

STM32™ 推动创新,见证未来

STM32™ 推动创新,见证未来 STM32 推动创新, 见证未来 2012 STM32 全国巡回研讨会 2 创新 Presentation Title 登陆火星 3 推动创新, 见证未来 Presentation Title STM32 参加伦敦奥运会 4 主控制器 : STM32L - 高处理能力 - 实时响应 - 高集成度 推动创新, 见证未来 Presentation Title 物联网, 正在发生 5 网络 + 服务 Presentation

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M Unidrive M Discover Unidrive M App Store Android E

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M   Unidrive M Discover Unidrive M App Store Android E Unidrive M600 0.75 kw - 2.8 MW (1.0 hp - 4,200 hp) 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App Store Android

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information