智能功率模块 Intelligent Power Module SPE02M50T-A_C 产品规格书 主要功能及额定参数 : 500V,2A( 脉冲峰值 ), Main Function and Parameter: 封装 Package 1.2A( 连续电流 ) 500V,2A(Peak),1.

Size: px
Start display at page:

Download "智能功率模块 Intelligent Power Module SPE02M50T-A_C 产品规格书 主要功能及额定参数 : 500V,2A( 脉冲峰值 ), Main Function and Parameter: 封装 Package 1.2A( 连续电流 ) 500V,2A(Peak),1."

Transcription

1 主要功能及额定 : 500V,2A( 脉冲峰值 ), Main Function and : 封装 Package 1.2A( 连续电流 ) 500V,2A(Peak),1.2A(Continuou 下臂 MOSFET 源极开 s) 路输出 Low-Side MOSFET open-source output 应用 : Application: 风扇 Air Fan 电动工具 Electric Power Tools SOP23-FP 特点 : 信号高电平有效, 兼容 3.3V 和 5V 的 MCU; 内置防直通保护 ; 内置欠压保护 ; 内部集成温度检测输出 ; 绝缘耐压 :1500V; Features: Active-High interface, works with 3.3V/5V MCU Built-In protection of Shoot through; HVIC for Under-voltage Protection; HVIC Temperature-Sensing Built-In for temperature Monitoring; Isolation Rating:1500V; DIP23A-FP 产品名称 封装形式 打印名称 SPE02M50T-A DIP23A-FP SPE02M50T-A SPE02M50T-C SOP23-FP SPE02M50T-C 订货信息 ORDER MESSAGE 订货型号 印 记 封 装 无卤素 包 装 Order codes Marking Package Halogen Free Packaging SPE02M50T-A SPE02M50T-A DIP23A-FP 否 NO 条管 Tube SPE02M50T-C SPE02M50T-C SOP23-FP 否 NO 条管 Tube 器件重量 Device Weight 模块内部电路图 Internal Block Diagram (1) (U)(2) P(17) (U)(3) IN(UH)(4) IN(UL)(5) U(18) NC(6) NU(19) (V)(7) (V)(8) NV(20) IN(VH)(9) IN(VL)(10) V(21) VTS(11) VTS (W)(12) (W)(13) NW(22) IN(WH)(14) IN(WL)(15) W(23) NC(16) 图 1: 模块内部电路图 Fig 1 Internal Block Diagram 版本 (Rev.):201801A 1/12

2 管脚说明 Pin Configuration 管脚编号 管脚名称 管脚描述 图 2: 管脚图 Fig 2 Pin Configuration Pin Number Pin Name Pin Description 1 控制电源 GND 端子 IC Common Supply Ground 2 (U) U 相上臂驱动电源端子 Bias Voltage for U-Phase High-Side MOSFET Driving 3 (U) U 控制电源端子 Bias Voltage for U-Phase IC and Low-Side MOSFET Driving 4 IN(UH) U 相上臂控制信号输入端子 Signal Input for U-Phase High-Side 5 IN(UL) U 相下臂控制信号输入端子 Signal Input for U-Phase Low-Side 6 NC 无连接 No Connection 7 (V) V 相上臂驱动电源端子 Bias Voltage for V-Phase High Side MOSFET Driving 8 (V) V 控制电源端子 Bias Voltage for V-Phase IC and Low Side MOSFET Driving 9 IN(VH) V 相上臂控制信号输入端子 Signal Input for V-Phase High-Side 10 IN(VL) V 相下臂控制信号输入端子 Signal Input for V-Phase Low-Side 11 VTS HVIC 温度输出 Output for HVIC Temperature Sensing 12 (W) W 相上臂驱动电源端子 Bias Voltage for W-Phase High-Side MOSFET Driving 13 (W) W 控制电源端子 Bias Voltage for W-Phase IC and Low-Side MOSFET Driving 14 IN(WH) W 相上臂控制信号输入端子 Signal Input for W-Phase High-Side 15 IN(WL) W 相下臂控制信号输入端子 Signal Input for W-Phase Low-Side 16 NC 无连接 No Connection 17 P 逆变器直流输入端子 Positive DC-Link Input 18 U U 相输出端子 Output for U-Phase & Bias Voltage Ground for High-Side MOSFET Driving 19 NU U 相下臂 MOSFET 源极端子 Negative DC-Link Input for U-Phase 20 NV V 相下臂 MOSFET 源极端子 Negative DC-Link Input for V-Phase 21 V V 相输出端子 Output for V-Phase & Bias Voltage Ground for High-Side MOSFET Driving 22 NW W 相下臂 MOSFET 源极端子 Negative DC-Link Input for W-Phase 23 W W 相输出端子 Output for W Phase & Bias Voltage Ground for High-Side MOSFET Driving 版本 (Rev.):201801A 2/12

3 最大额定值 (Tj= 25 C, 除非特殊说明 ) Absolute Maximum Ratings (Tj= 25 C, Unless Otherwise Specified) 逆变部分 Inverter Part 额定值 Ratings Units V DSS 漏 - 源电压 Drain-Source Voltage of Each MOSFET 500 V I D 漏极连续电流 Each MOSFET Current, Continuous Tc = 25 C(Tc 测量参考图 5) Tc = 25 C(Tc refer to Fig:5) 1.2 A I DM 漏极电流 ( 峰值 )Each MOSFET Pulse Current, Peak Tc = 25 C, 脉冲宽度小于 100us Tc = 25 C, less than 100us 2 A I Drms 漏极电流 ( 有效值 )Each MOSFET Current, Rms Tc = 25 C, F PWM <20KHz 0.85 Arms P D 最大功耗 Maximum Power Dissipation Tc = 25 C, 每个 MOSFET Tc = 25 C,For Each MOSFET 14.2 W 控制部分 Control Part 额定值 Ratings Units V CC 控制电源电压 Control Supply Voltage V CC- 之间 Applied between V CC and 20 V V BS 高侧控制电压 High-side Bias Voltage - 之间 Applied between and 20 V V IN 输入信号电压 Input Signal Voltage V IN- 之间 Applied between V IN and -0.3~V CC+0.3 V 内部自举电路 Bootstrap Diode Part V RRMB I FB 反向耐压 Control Supply Voltage 正向电流 High-side Bias Voltage I FPB 正向电流 ( 峰值 ) Input Signal Voltage Tc = 25 C Tc = 25 C, 脉冲宽度小于 1Ms Tc = 25 C,Less than 1mS 额定值 Ratings Units 500 V 1 A 2.5 A 整个系统 Total System 版本 (Rev.):201801A 3/12

4 额定值 Ratings Units Tj 结温 Operating Junction Temperature -40~150 T STG 贮存温度 Storage Temperature Tc = 25 C -40~125 60Hz, 正弦, AC 1 分钟, 连接管脚到散 V ISO 绝缘耐压 Isolation Voltage 热器 60Hz, Sinusoidal, AC 1 min, between 1500 V pins and heat-sink plate 备注 1: 为了确保 IPM 正常工作, 模块的结温应该小于 150 (@Tc 100 C) NOTE1:To insure safe operation of the IPM, the average junction temperature should be limited to TJ 150 C (@Tc 100 C). 热阻 Thermal Resistance Rth(j-c) 结到外壳的热阻 Junction to Case Thermal resistance 额定值 Ratings Units 每个 MOSFET For Each MOSFET 8.8 C/W 电气特性 (Tj= 25 C, 除非特殊说明 ) Electrical Characteristics (TJ= 25 C, Unless Otherwise Specified) 逆变部分 Inverter Part 最小值 典型值 最大值 Min. Typ. Max. Unit BV DSS 漏 - 源击穿电压 Drain Source Breakdown Voltage V IN = 0 V, I D = 1 ma ( 备注 2) (Note2) V I DSS V SD R DS(on) t ON 零栅极电压漏极电流 Zero Gate Voltage Drain Current V IN = 0 V, V DS = 500 V ma 源 - 漏二极管正向电压 Drain - V CC = V BS = 15V, V IN = 0 V, I D Source Diode =-0.5 A Forward Voltage V 漏 - 源导通电 Drain-Source V CC = V BS = 15 V, V IN = 5 V, I D Turn-On Resistance =0.5 A ohm 开关时间 V PN = 300 V, V CC = V BS = 15 V, I D ns t OFF Switching Times = 0.5 A ns 版本 (Rev.):201801A 4/12

5 t rr V IN = 0/5 V, 感性负载 L(Inductive ns E ON Load) = 3 mh uj E OFF ( 备注 3)(Note3) uj R BSOA 反向偏置安全工作区 V PN = 400 V, V CC = V BS = 15 V, I D Reverse Bias Safe 全直角 Full Square = I DP, V DS = BV DSS,T = 150 C Operating Area 备注 2:BV DSS 是单个 MOSFET 漏源最大电压 V PN 应小于该值, 考虑到杂散电感,V DS 在任何情况下都不应超过 BV DSS NOTE 2:BV DSS is the absolute maximum voltage rating between drain and source terminal of each FRFET inside SPM. VPN should be sufficiently less than this value considering the effect of the stray inductance so that VDS should not exceed BV DSS in any case. 备注 3: t ON 和 t OFF 包含驱动 IC 传输延迟 列表值是在实验下测得, 不同的 PCB 及连线会改变数值 请参考图 3 的开关时间定义 NOTE 3: t ON and t OFF include the propagation delay time of the internal drive IC. Listed values are measured at the laboratory test condition, and they can be different according to the field applcations due to the effect of different printed circuit boards and wirings. Please see Fig 3 for the switching time definition. 控制部分 Control Part I QCC I QB UV CCD UV CCR UV BSD UV BSR V TS V IH V CC 静态电流 Quiescent Supply Current V BS 静态电流 Quiescent S Supply Current 低侧欠压保护 Low-Side Under-Voltage Protection 高侧欠压保护 High-Side Under-Voltage Protection HVIC 温度检测输出 HVIC Temperature Sensing Voltage Output 输入开启阈值电压 ON Threshold Voltage 最小值 Min. 典型值 Typ. 最大值 Max. Unit V CC 之间 V CC = 15V Applied between V IN = 5V and ua (U) U,(V) V,(W) W 之间 V DB = 15V Applied between V IN = 5V (U) -U,(V) - V, ua (W) -W 检测电平 Under-Voltage Protection Detection Level V 复位电平 Under-Voltage Protection Reset Level V 检测电平 S Under-Voltage Protection Detection Level V 复位电平 S Under-Voltage Protection Reset Level V V CC = 15 V, T HVIC = 25 C( 图 4 Figure4) V 逻辑高电平, 加在 V IN 与 之间 Logic HIGH Level, Applied between VIN V and 版本 (Rev.):201801A 5/12

6 V IL V F(BSD) t rr(bsd) 输入关闭阈值电压 OFF Threshold Voltage 自举二极管导通压降 BSD Forward voltage 自举二极管反向恢复时间 Reverse Recovery Time 逻辑低电平, 加在 V IN 与 之间 Logic Low Level, Applied between VIN and V I F = 0.1 A, TC = 25 C V I F = 0.1 A, TC = 25 C ns 推荐工作 Recommended Operating s V PN V CC V BS V IN(ON) V IN(OFF) t dead F PWM 电源电压 Supply Voltage 控制电源电压 Control Supply Voltage 高侧控制电源电压 High-Side Bias Voltage 输入开启阈值电压 Input ON Threshold Voltage 输入关闭阈值电压 Input OFF Threshold Voltage 死区时间 Blanking Time for Preventing Arm-Shor PWM 开关频率 PWM Switching Frequency 最小值 Min. 典型值 Typ. 最大值 Max. Unit P-N 之间 Applied between P and N V V CC- 之间 Applied between and V - 之间 Applied between and V V CC V V IN- 之间 Applied between VIN and V V CC = V BS = 13.5 ~ 16.5 V, Tj <150 C us Tj <150 C KHz 版本 (Rev.):201801A 6/12

7 100%Ic 100%Ic trr VCE Ic Ic VCE VIN VIN ton tc(on) VIN(ON) 10%Ic 90%Ic 10% VCE toff tc(off) 10%VCE 10%Ic (a) 开启 (b) 关断 图 3: 开关时间定义 Fig 3: Switching Time Definition 3.5 温度检测电压 VTS(V)Output Voltage of VTS(V) Typ Min Max HVIC 温度 THVIC( C) HVIC Temp THVIC 图 4:HVIC 温度检测输出温度 电压曲线 Fig 4:Curves of HVIC Temperature detection voltage curve 版本 (Rev.):201801A 7/12

8 图 5: 壳温 Tc 测试点 Fig 5:Case Temperature Measurement 保护功能时序图 Time Charts of Protective Function 图 6: 欠压保护时序图 ( 低侧 ) 版本 (Rev.):201801A 8/12

9 图 7: 欠压保护时序图 ( 高侧 ) 应用电路 Application Circuit MCU 10uF 15V R5 C2 C5 C4 VTS 图 8:MCU 接口和自举推荐电路 Recommended CPU Interface and Bootstrap Circuit with C1 s P U/V/W N R3 VDC C3 0 0 逆变器输出 Output 高阻 High Resistance VDC 1 1 开路 Open 开路 Open 禁止 Forbidden 高阻 High Resistance 备注 Note 上下桥 MOS 关闭 Both MOSFET Off 下桥 MOS 开通 Low side MOSFET On 上桥 MOS 开通 High side MOSFET On 直通 Shoot through 上下桥 MOS 关闭 Both MOSFET Off 备注 4: 自举电路的元器件要根据 PWM 周期而定, 以 15kHz 开关频率为例 :C1=C2=4.7uF NOTE 4:s for bootsrap circuit elements are dependent on PWM algorithm. For 15 khz of switching frequency, typical example of parameters is an example of:c1=c2=4.7uf 备注 5: 在模块的每个输入端和 MCU 输出端之间加入 RC 去耦电路, 如 R5 C5 和高频滤波电容, 如 :C4, 防止干扰 噪声引起的信号失真 NOTE 5:RC coupling(r5 and C5) and C4 at each input of SPM and MCU may be used to prevent improper signal due to surge noise. Signal input of SPM is compatible with standard CMOS or LSTTL outputs. 版本 (Rev.):201801A 9/12

10 C1 +15V C4 (U) P P R5 (U) IN(UH) IN(UL) U CDC VDC C5 C2 NC NU (V) MCU R5 C5 C2 (V) IN(VH) IN(VL) VTS VTS NV V M (W) R5 (W) IN(WH) IN(WL) NW W C5 C2 NC 图 9: 典型应用电路图 C B R4 C3 R3 A Fig 9:Example of Application Circuit N1 备注 6: 输入驱动高有效 ;IC 内部集成有一个 500K ( 典型值 ) 下拉电阻 ; 为防止发生误动作, 输入布线应尽可能短 ; 当用 RC 去耦线路时, 须确保输入信号达到开启和关断阙值电压范围 NOTE 6:,Input drive is High-Active type. There is a 500kΩ(typ.) pull-down resistor integrated in the IC input circuit. To prevent malfunction, the wiring of each input should be as short as possible. When using RC coupling circuit, make sure the input signal level meet the turn-on and turn-off threshold voltage. 备注 7: 由于 R3 位于 MOSFET 源极与 之间,R3 的压降会影响到下侧 MOSFET 的开关特性以及自举电路的特性, 因此 R3 的稳态压降应小于 1V NOTE 7:The voltage drop across R3 affects the low side switching performance and the bootstrap characteristics since it is placed between and the source terminal of the low side MOSFET. For this reason, the voltage drop across R3 should be less than 1V in the steady-state. 备注 8: 由于模块内置了专用 HVIC, 其控制端子可与 CPU 端子直接相连, 而不需要任何光耦或变压器等隔离电路 NOTE 8:Thanks for HVIC inside modules, direct coupling to MCU without any opto-coupler or transformer isolation is possible. 备注 9: 自举电路负极应直接连接到 U V W 的端 NOTE 9:Bootstrap negative electrodes should be connected to U,V,W terminals directly and separated from the main output wires. 备注 10: 为防止误保护, A B C 连线应尽可能短 NOTE 10:To prevent erroneous protection, the wiring of A, B, C should be as short as possible. 版本 (Rev.):201801A 10/12

11 备注 11: 保护线路 R4 C3 的时间常数建议选取在 1~2uS 关断时间可能随着布线的不同而多少有些变化 建议 R4 C3 选择小容差, 温度补偿类型 NOTE 11:The time constant R4 C3 of the protection circuit should be selected in the range of 1.0-2μs. SC interrupting time might vary with the wiring pattern. Tight tolerance, temp-compensated type is recommended for R4, C3. 备注 12: 所有电容的位置尽可能的靠近 IPM NOTE 12:All capacitors should be mounted as close to the terminals of the IPM as possible. 备注 13: 为了防止噪声干扰, 储能电容与 P&N1 之间的引线应尽可能的短, 推荐在 P&N1 端子之间加约 0.1~0.22uF 的 MLCC 低频滤波电容 NOTE 13:To prevent surge destruction, the wiring between the smoothing capacitor and the P, N1 terminals should be as short as possible. Generally, a μF snubber between the P-N1 terminals is recommended. 备注 14:VTS 引脚是 IC 内部集成的温度检测输出脚, 如果不需要使用该引脚, 建议用 100K 电阻下拉至 GND, 不允许悬空 NOTE 14:The terminals of VTS is used to temperature detection, if you don t want to use it, please pull-down the terminal with a 100 KΩ resistor to GND. No connection is forbidden. 外形封装图 Detailed Package Outline Drawings SPE02M50T-A(DIP23-FP) :mm 图 10:SPE02M50T-A 封装外形图 Fig10:SPE02M50T-A Package Outline Drawings 版本 (Rev.):201801A 11/12

12 SPE02M50T-C(SOP23-FP) :mm 图 11:SPE02M50T-C 封装外形图 Fig 11:SPE02M50T-C Package Outline Drawings 版本 (Rev.):201801A 12/12

13 注意事项 1. 吉林华微电子股份有限公司的产品销售分 为直销和销售代理, 无论哪种方式, 订货时 请与公司核实 2. 购买时请认清公司商标, 如有疑问请与公司 本部联系 3. 在电路设计时请不要超过器件的绝对最大 额定值, 否则会影响整机的可靠性 4. 本说明书如有版本变更不另外告知 联系方式吉林华微电子股份有限公司 NOTE 1. Jilin Sino-microelectronics co., Ltd sales its product either through direct sales or sales agent, thus, for customers, when ordering, please check with our company. 2. We strongly recommend customers check carefully on the trademark when buying our product, if there is any question, please don t be hesitate to contact us. 3. Please do not exceed the absolute maximum ratings of the device when circuit designing. 4. Jilin Sino-microelectronics co., Ltd reserves the right to make changes in this specification sheet and is subject to change without prior notice. CONTACT JI SINO-MICROELECTRONICS CO., LTD. 公司地址 : 吉林省吉林市深圳街 99 号邮编 : 总机 : 传真 : 网址 : ADD: No.99 Shenzhen Street, Jilin City, Jilin Province, China. Post Code: Tel: Fax: Web Site: 市场营销部地址 : 吉林省吉林市深圳街 99 号邮编 : 电话 : /3099 传真 : MARKET DEPARTMENT ADD: No.99 Shenzhen Street, Jilin City, Jilin Province, China. Post Code: Tel: /3099 Fax: 附录 (Appendix): 修订记录 (Revision History) 日期旧版本新版本 Date Last Rev. New Rev. 修订内容 Description of Changes A 初始版本 版本 (Rev.):201801A 13/12

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点 华微斯帕克 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23FP SOP23FP 特点 : 信号高电平有效, 兼容 3.3V 和 5V 的 MCU; 内置防直通保护 ; 内置欠压保护 ; 内部集成温度检测输出 ; 绝缘耐压 :1500V;

More information

页边距:上3

页边距:上3 封装 Package 主要参数 MAIN CHARACTERISTICS I C 1.5A V CEO 400V P C (TO-92/TO-92-F1/SOT-89) 1W P C ( DPAK/IPAK) 10W P C (TO-126(S)) 20W TO-92 TO-126S IPAK 用途 节能灯 电子镇流器 高频开关电源 高频功率变换 一般功率放大电路 APPLICATIONS Energy-saving

More information

Microsoft Word - 3DD4243D_TYIMZ_DATA SHEET D.doc

Microsoft Word - 3DD4243D_TYIMZ_DATA SHEET D.doc NPN 型高压功率开关晶体管 HIGH VOLTAGE FAST-SWITCHING NPN POWER TRANSISTOR 3DD4243D(T/I/M/Z/Y) 主要参数 MAIN CHARACTERISTICS I C 2.0A V CEO P C (TO-92) P C (TO-92-FJ) P C (TO-251) P C (TO-251S) P C (TO-126) P C (TO-126S)

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 符号 数值 Parameter Symbol Value Unit 集电极 发射极直流电压 Collector- Emitter Voltage(V BE =0) V CES 700 V 集电极 发射极直流电压 Collec

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 符号 数值 Parameter Symbol Value Unit 集电极 发射极直流电压 Collector- Emitter Voltage(V BE =0) V CES 700 V 集电极 发射极直流电压 Collec 主要参数 MAIN CHARACTERISTICS I C 2.0A V CEO P C (TO-92) P C (TO-251) P C (TO-126(S)) P C (TO-220) 用途 节能灯 电子镇流器 高频开关电源 高频功率变换 一般功率放大电路 400V 1W 10W 20W 40W NPN 型高压功率开关晶体管 HIGH VOLTAGE FAST-SWITCHING NPN POWER

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Microsoft Word - 3DD13003A DATA SHEET I.doc

Microsoft Word - 3DD13003A DATA SHEET I.doc 主要参数 MAIN CHARACTERISTICS I C 1.5A V CEO P C (TO-92) P C (TO-126/TO-126F) P C (TO-220) 用途 充电器 电子镇流器 高频开关电源 高频功率变换 一般功率放大电路 产品特性 高耐压 高电流容量 高开关速度 高可靠性 环保 (RoHS) 产品 NPN 型高压功率开关晶体管 HIGH VOLTAGE FAST-SWITCHING

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

主要参数 MAIN CHARACTERISTICS I C V CEO P C (TO-251/126/126S/220HF) P C (TO-252) P C (TO-220C/262/263) NPN 型高压功率开关晶体管 HIGH VOLTAGE FAST-SWITCHING NPN POWER TRANSISTOR 4A 400V 40W 50W 75W 封装 Package 用途 节能灯

More information

Microsoft Word - 3DD4242D_TIUVRMO_DATA SHEET DOC

Microsoft Word - 3DD4242D_TIUVRMO_DATA SHEET DOC NPN 型高压功率开关晶体管 HIGH VOLTAGE FAST-SWITCHING NPN POWER TRANSISTOR 主要参数 MAIN CHARACTERISTICS I C 1.5A V CEO P C (TO-92/SOT-89) P C (TO-251\TO-252/DPAK/IPAK) P C (TO-126(S)) 400V 1W 10W 20W 封装 Package TO-92

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 连续漏极电流 Drain Current -continuous 最大脉冲漏极电流 ( 注 1) Drain Current - pu

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 连续漏极电流 Drain Current -continuous 最大脉冲漏极电流 ( 注 1) Drain Current - pu 主要参数 MAIN CHARACTERISTICS 封装 Package N 沟道增强型场效应晶体管 N-CHANNEL MOSFET ID VDSS Rdson-max (@Vgs=10V) Qg-typ 用途 高频开关电源 电子镇流器 UPS 电源 产品特性 低栅极电荷 低 C rss ( 典型值 90pF) 开关速度快 产品全部经过雪崩测试 高抗 dv/dt 能力 RoHS 产品 16A 100

More information

页边距:上3

页边距:上3 反向阻断三极晶闸管 Thyristors(SC) 主要参数 MAIN CHAACTEISTICS 封装 Package I T(AV) 7.5A V DM /V M 500V 1-15mA I GT 用途 半交流开关 相位控制 APPLICATIONS Half AC switching Phase control TO-220 序号 Pin 引线名称 Description 1 阴极 K 2 阳极

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

页边距:上3

页边距:上3 主要参数 MAIN CHARACTERISTICS 封装 Package N 沟道增强型场效应晶体管 N-CHANNEL MOSFET ID VDSS Rdson-max (@Vgs=10V) Qg-typ 用途 高频开关电源 电子镇流器 LED 电源 7.0 A 600 V 1.3Ω 32 nc APPLICATIONS High frequency switching mode power supply

More information

Microsoft Word - 3FT8ZCFUVF F.doc

Microsoft Word - 3FT8ZCFUVF F.doc 双向晶闸管 TIACS 主要参数 MAIN CHAACTEISTICS I T(MS) 8A V DM 600V or 800V I GT 5mA,10mA 用途 APPLICATIONS 交流开关 AC switching 相位控制 Phase control 封装 Package 序号 Pin 引线名称 Description 1 主电极 1 MT1 2 主电极 2 MT2 3 门极 G TO-220

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 数值 Value 项 目 符号 C 单位 Parameter Symbol S F Unit 最高漏极 - 源极直流电压 Drain-Source Voltage V DSS 200 V 连续漏极电流 Drain Current -

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 数值 Value 项 目 符号 C 单位 Parameter Symbol S F Unit 最高漏极 - 源极直流电压 Drain-Source Voltage V DSS 200 V 连续漏极电流 Drain Current - 主要参数 MAIN CHARACTERISTICS ID 18.0A 封装 Package VDSS 200 V Rdson-max (@Vgs=10V) 0.18Ω Qg-typ 47nC N 沟道增强型场效应晶体管 N- CHANNEL MOSFET 用途 高频开关电源 电子镇流器 UPS 电源 APPLICATIONS High efficiency switch mode power supplies

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 数值 Value 项 目 符号 C 单位 Parameter Symbol S F Unit 最高漏极 - 源极直流电压 Drain-Source Voltage V DSS 200 V 连续漏极电流 Drain Current -

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 数值 Value 项 目 符号 C 单位 Parameter Symbol S F Unit 最高漏极 - 源极直流电压 Drain-Source Voltage V DSS 200 V 连续漏极电流 Drain Current - 主要参数 MAIN CHARACTERISTICS ID 28.0A 封装 Package VDSS 200 V Rdson-max (@Vgs=10V) 85mΩ Qg-typ 103nC N 沟道增强型场效应晶体管 N- CHANNEL MOSFET 用途 高频开关电源 电子镇流器 UPS 电源 APPLICATIONS High efficiency switch mode power supplies

More information

MCU产品规格书

MCU产品规格书 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 描述 SD05M50DL/DLS 是高度集成 高可靠性的 3 相无刷直流电机驱动电路, 主要应用于较低功率电机驱动, 如风扇电机 其内置了 6 个快恢复 MOSFET 和 3 个半桥 HVIC 栅极驱动电路 SD05M50DL/DLS 内部集成了欠压保护电路, 提供了优异的保护和故障安全操作 由于每一相都有一个独立的负直流端, 其电流可以分别单独检测

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

页边距:上3

页边距:上3 N 沟道增强型场效应晶体管 N- CHANNEL MOSFET 主要参数 MAIN CHARACTERISTICS 封装 Package ID 18 A VDSS 500 V Rdson-max(@Vgs=10V) 0.27Ω Qg-typ 50nC 用途 高频开关电源 电子镇流器 UPS 电源 APPLICATIONS High efficiency switch mode power supplies

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 连续漏极电流 Drain Current -continuous 最大脉冲漏极电流 ( 注 1) Drain Current -pul

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项 目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 连续漏极电流 Drain Current -continuous 最大脉冲漏极电流 ( 注 1) Drain Current -pul 主要参数 MAIN CHARACTERISTICS ID 18A 封装 Package VDSS 200 V Rdson-max (@Vgs=10V) 0.15Ω Qg-typ 27.5nC N 沟道增强型场效应晶体管 N- CHANNEL MOSFET 用途 高频开关电源 电子镇流器 UPS 电源 APPLICATIONS High efficiency switch mode power supplies

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 数值 Value 符号 V/R S/B/C F 单位 Symbol Unit V DSS 200 V 连续漏极电流 Drain Curr

绝对最大额定值 ABSOLUTE RATINGS (Tc=25 ) 项目 Parameter 最高漏极 - 源极直流电压 Drain-Source Voltage 数值 Value 符号 V/R S/B/C F 单位 Symbol Unit V DSS 200 V 连续漏极电流 Drain Curr 主要参数 MAIN CHARACTERISTICS 封装 Package N 沟道增强型场效应晶体管 N- CHANNEL MOSFET ID 9.0A VDSS 200 V Rdson-max (@Vgs=0V) 0.4Ω Qg-typ 22nC 用途 高频开关电源 电子镇流器 UPS 电源 产品特性 低栅极电荷 低 C rss ( 典型值 22pF) 开关速度快 产品全部经过雪崩测试 高抗 dv/dt

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

E15-3D1 1. Specifications Compact 4-Way Cassette type Model name MMU- AP0071MH2UL AP0091MH2UL AP0121MH2UL AP0151MH2UL AP0181MH2UL Cooling Capacity kbt

E15-3D1 1. Specifications Compact 4-Way Cassette type Model name MMU- AP0071MH2UL AP0091MH2UL AP0121MH2UL AP0151MH2UL AP0181MH2UL Cooling Capacity kbt E15-3D1 Compact 4-Way Cassette type MMU-AP0071MH2UL MMU-AP0091MH2UL MMU-AP0121MH2UL MMU-AP0151MH2UL MMU-AP0181MH2UL Contents 1. Specifications 2. Dimensions 3. Center of gravity 4. Piping diagram 5. Wiring

More information

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830 特点 : 热阻低导通电阻低栅极电荷低, 开关速度快输入阻抗高 符合 RoHS 规范 FEATURES FEATURES: LOW THERMAL RESISTANCE LOW RDS(ON) TO IMIZE CONDUCTIVE LOSS LOW GATE CHARGE FOR FAST SWITCHING HIGH INPUT RESISTANCE 应用 : 低压高频逆变电路续流电流保护电流 APPLICATION:

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

华微斯帕克 SPE20S60N-D 说明书 Control 智能功率模块 Part 600V/20A 3 相全桥驱动 主要功能及额定参数 : 600V/20A 三相直流转交流逆变器 内置低损耗沟道栅 - 场截止型 IGBT 下臂 IGBT 发射极输出 内置自举二极管 应用 : 空调压缩机 冰箱压缩机

华微斯帕克 SPE20S60N-D 说明书 Control 智能功率模块 Part 600V/20A 3 相全桥驱动 主要功能及额定参数 : 600V/20A 三相直流转交流逆变器 内置低损耗沟道栅 - 场截止型 IGBT 下臂 IGBT 发射极输出 内置自举二极管 应用 : 空调压缩机 冰箱压缩机 华微斯帕克 Control 智能功率模块 Part 600V/20A 3 相全桥驱动 主要功能及额定参数 : 600V/20A 三相直流转交流逆变器 内置低损耗沟道栅 场截止型 IGBT 下臂 IGBT 发射极输出 内置自举二极管 应用 : 空调压缩机 冰箱压缩机 低功率变频器 工业缝纫机 DIP29 特点 : IGBT 驱动 : 增强型输入滤波, 上下臂互锁, 高速 600V 电平转换, 电源欠压保护,

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

VUFB VVFB VWFB VB3 VB2 VB1 NC P VCC HO1 VS1 U UP HIN1 VP WP HIN2 HIN3 HO2 VS2 V VP1 VNC HO3 VS3 W UN VN WN LIN1 LIN2 LIN3 LO1 NU NC FO FAULT LO2 NV CI

VUFB VVFB VWFB VB3 VB2 VB1 NC P VCC HO1 VS1 U UP HIN1 VP WP HIN2 HIN3 HO2 VS2 V VP1 VNC HO3 VS3 W UN VN WN LIN1 LIN2 LIN3 LO1 NU NC FO FAULT LO2 NV CI 主要功能及额定参数 : 600V/10A 三相逆变器 内置低损耗沟道栅 - 场截止型 IGBT 下臂 IGBT 发射极输出 内置自举二极管 应用 : 冰箱压缩机 油烟机 风扇 空气净化器 洗碗机水泵特点 : IGBT 驱动 : 增强型输入滤波, 上下臂互锁, 高速 600V 电平转换, 电源欠压保护, 短路 ( 过流 ) 保护 故障信号 : 对应于短路 ( 过流 ) 和 VP1 电源欠压故障 输入接口

More information

Rotary Switch Catalogue

Rotary Switch Catalogue Rotary Switches RS300/400/500 Series Outline Our RS series embody the manufacturing history of our company. All series are sturdy and solid with high dependability designed for control units of plants,

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

Thin Film Precision Temperature Chip Resistor TPT 2013.xls

Thin Film Precision Temperature Chip Resistor TPT 2013.xls FEATURE Thin Film Passivity NiCr Resistor Very Tight Tolerance from ±0.01%. Extremely Low TCR from ±5ppm/ Wide R-Value Range Rated : 1/32W ~ 1W (0402 ~2512) Applications Medical Equipment Testing / Measurement

More information

1-S40A...-1 DAT00452 V.005

1-S40A...-1 DAT00452 V.005 1. 1-S40A -1 Technical data: DATA SHEET Technical data Unit 1-S40A -1 OIML R60 D1 C3 Emax Max. capacity Kg 50,100,200,500 50,100,200,500 t 1, 2, 3, 5 1, 2, 3, 5 vmin % of Cn 0.0286 0.0120 Sensitivity mv/v

More information

Induction Heating and Melting Capacitors

Induction Heating and Melting Capacitors General Information Induction Heating and Melting Capacitors pplication: The capacitors are applicable for indoor use and intended to be used for power factor correction in induction heating, melting,

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

Microsoft Word B

Microsoft Word B UNISONIC TECHNOLOGIES CO., LTD PC POWER SUPPLY SUPERVISOR SOP16 DESCRIPTION The UTC 3513 is designed to provide protection circuits, power good output (PGO) indicator, fault protection output () and a

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

进口连接器生产厂家日本KEL连接器官网的KEL端子选型说明书pdf样本资料

进口连接器生产厂家日本KEL连接器官网的KEL端子选型说明书pdf样本资料 日本KL连接器官网的KL端子选型说明书pdf样本资料 25mil (0.635mm) Pitch 2 Piece Connector 8600 SRIS 中国KL代理商热线4006-022-002 北京代理 广东省 深圳 上海 天津 湖南 shunto@26.com -5 日本 KL 连接器官网的 KL 端子选型说明书 pdf 样本资料 TURS 0.635mm pitch oard to oard

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER SSeries Coaxial shaft series Features S series Standard backlash is 3 arc-min, ideal for precision control. High rigidity & high torque were achived by uncaged needle roller

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER L Series Features Coaxial shaft series L series Helical gears contribute to reduce vibration and noise. Standard backlash is 5 arc-min, ideal for precision control. High rigidity

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

OA-253_H1~H4_OL.ai

OA-253_H1~H4_OL.ai WARNINGS Note: Read ALL the following BEFORE using this product. Follow all Guidelines at all times while using this product. CAUTION This warning indicates possibility of personal injury and material

More information

全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS

全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS 全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS 专业卓越共赢 Professional excellence and win-win 目录 about company 1 1-3k 2 6-10k 3 RM 1-3k 4 RM 6-10k 5 RT 1-3K 6 RT 6-10K 7 3/1 10-30K 8 3/3 10-30K 9 3/3 40-200K 10 Mplus

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

HC70245_2008

HC70245_2008 Reliability Laboratory Page: 1 of 6 Date: September 5, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

untitled

untitled Bussmann 电路保护解决方案 Circuit Protection Solutions General Introduction Bussmann... innovative circuit protection Circuit Overload...Electrical and electronic systems can experience it. And so can people.

More information

untitled

untitled SSC9502S PRELIMINARY APPLICATION NOTE Ver. 0.1 SSC9502S Application Note (Ver. 0.1) Sanken Electric Co., Ltd http://www.sanken-ele.co.jp Page.1 SSC9502S PRELIMINARY APPLICATION NOTE Ver. 0.1 / / / / /

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Building Technology Experience Center concept air conditioning concept heat pump special energy-saving techniques in hydraulics Concrete core conditio

Building Technology Experience Center concept air conditioning concept heat pump special energy-saving techniques in hydraulics Concrete core conditio Building Technology Experience Center concept air conditioning concept heat pump special energy-saving techniques in hydraulics Concrete core conditioning Initial situation Passive House Technology Experience

More information

Manual Pulse Generator Catalogue

Manual Pulse Generator Catalogue Manual Pulse Generator RE45T series Outline RE45T/V series are compact optical manual pulse generators that allow accurate and smooth manual motion for NC machine tools, industrial machines etc. Features

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

ZCC3710-V1

ZCC3710-V1 ZCC-China Microne Electronic Co.,Ltd High Efficiency 1.2MHz, 28V Output, 2A Step Up Regulator FEATURES 2V to 24V Input Voltage Up to 28V Output Voltage Integrated 80mΩ Power MOSFET 1.2MHz Fixed Switching

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

Sosen SS-50R-36 LED Driver Spec Sheet

Sosen SS-50R-36 LED Driver Spec Sheet SPECIFICATION 50W LED DRIVER Issued date: January 20, 2013 REV: V1.0 Model: SS-50R Description: 50W AC to DC LED DRIVER Customer: Customer Approval Signature SHENZHEN SOSEN ELECTRONICS CO LTD WRITTEN CHECKED

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information