User Manual PCIe-9140I 用户手册 工业级高性能型 PCI-E 接口 CAN 卡 UM V1.03 Date: 2019/03/12 产品用户手册 类别 关键词 摘要 内容 PCI Express,CAN 接口卡 PCIe-9140I 是一款 PCI Expres

Size: px
Start display at page:

Download "User Manual PCIe-9140I 用户手册 工业级高性能型 PCI-E 接口 CAN 卡 UM V1.03 Date: 2019/03/12 产品用户手册 类别 关键词 摘要 内容 PCI Express,CAN 接口卡 PCIe-9140I 是一款 PCI Expres"

Transcription

1 User Manual 工业级高性能型 PCI-E 接口 CAN 卡 UM V1.03 Date: 2019/03/12 类别 关键词 摘要 内容 PCI Express,CAN 接口卡 PCIe-9140I 是一款 PCI Express x1 规格的 4 路 CAN 接口卡, 带有 4 路隔离 CAN 接口的高性能 CAN 接口卡 使 PC/ 工控机可以通过 PCI-E 接口连接至 CAN 网络, 构成实验室 工业控制 智能小区等 CAN 网络应用中的数据采集与数据处理系统

2 修订历史版本 日期 原因 V /08/08 创建文档 V /07/19 增加产品挡板尺寸图 V /08/09 更改公司名称 销售与服务网络 V /03/12 更新文档页眉页脚 销售与服务网络 内容和新增 免责声明 内容 i

3 目录 1. 功能简介 产品概述 功能特性 产品外观 设备硬件介绍及安装 规格参数 接口定义 终端电阻 板卡安装 注意事项 产品尺寸 安装驱动程序 安装驱动程序 检查驱动安装 卸载驱动程序 检查和维护 常见问题解答 附录 A:CAN2.0B 协议帧格式 附录 B:SJF1000 标准波特率 免责声明

4 1. 功能简介 1.1 产品概述广州致远电子 PCIe-9140I CAN 接口卡是一款兼容 PCI Express r1.0a 规范的 PCIe 转 4 通道 CAN 通讯接口的板卡 PCIe-9140I 接口卡支持 PCI Express 多功能设备外围控制器 x1 接口, 能使计算机方便地连接到 CAN 总线网络中, 实时监控多个总线网络, 安装方便, 使用简单 PCIe-9140I 提供 4 个完全独立的隔离 CAN 通道, 符合 CAN2.0B 规范 ( 兼容 CAN 2.0A), 支持 1Mbps 的高传输速率, 在应用中更加灵活方便 为了提高系统性能,PCIe-9140I 接口卡采用了 3500V DC 电气隔离 CAN 收发模块, 保护计算机避免地环流的影响, 增强系统在恶劣环境中使用的可靠性 PCIe-9140I 接口卡提供了统一的应用程序编程接口和完整多样的应用示范代码, 包括 VC VB Delphi 和 labview 等开发例程, 方便用户进行应用程序开发 PCIe-9140I 还支持 OPC 接口, 能应用在支持 OPC 的组态软件中 另外, 我们还提供了 CANTest 通用测试软件, 可执行 CAN 报文的收发和监测等功能, 方便用户开发调试使用 1.2 功能特性 PC 接口 :PCI Expressx1 规格接口, 兼容 x8 x16 等 PCI Express 插槽 ; 供电方式 :PCI-E 接口供电 (+12V, 大于 300mA); 电气隔离 :DC 3500V; 工作温度 :-0 ~+80 ; 物理尺寸 : 主卡 103mm 120mm; 副卡 53mm 120mm( 含金属挡板 ); CAN 通道数 :4 通道隔离 CAN 接口 ; CAN 通道采用电磁隔离 DC/DC 电源隔离, 隔离电压 :3500VDC; 同时支持 CAN2.0A 和 CAN2.0B 协议, 符合 ISO/DIS /2 标准 ; 安装位置 : 标准电脑 / 工控机机箱 PCI-E 接口 ; CAN 接口 EMC 等级 : 接触放电 ±6KV, 群脉冲 ±2KV; 最高帧流量 : 单通道可高达 帧 / 秒 (1M 波特率 标准远程帧 ); CAN 波特率 : 可编程任意设置, 范围在 5Kbps~1Mbps 之间 ; CAN 接口 :DB-9 针型连接器, 可配置的 120 欧姆终端电阻, 符合 DeviceNet 和 CANopen 标准 1

5 1.3 产品外观 图 1.1PCIe-9140I 产品外观 2

6 2. 设备硬件介绍及安装 2.1 规格参数 表 2.1 产表品规格参数 项目 CAN 通道数工作电压功耗隔离电压输出端子 规格 PCIe-9140I 4 路 PCI-E 接口供电 (+12V,300mA) 5W DC 3500V DB-9 针型连接器 CAN 波特率 5Kbps~1Mbps( 可编程任意设置 ) 数据接收能力数据发送能力 Windows 系统驱动 Linux 系统驱动 帧 / 秒 / 通道 4000 帧 / 秒 / 通道支持支持 VxWorks 驱动 尺寸 ( 长 宽 ) 工作温度 PCB 尺寸 :95 90 mm( 主卡 );40 90 mm( 副卡 ); 整体尺寸 :103mm 120mm( 主卡 ); mm( 副卡 ) -0 C ~ +80 C 环境湿度 10% ~ 90% ( 无凝露 ) 环境要求 远离腐蚀性气体 2.2 接口定义 PCIe-9140I 接口卡通过 DB-9 针型连接器与实际的 CAN-bus 网络进行连接 DB-9 针型连接器的引脚信号定义如表 2.2 所示, 符合 DeviceNet 和 CANopen 标准 表 2.2 DB-9 针型连接器的引脚定义 引脚信号描述接口示意图 1 NC 2 CAN_L CAN_L 信号线 3 CAN_GND 参考地 4 NC 5 CAN_SHIELD 屏蔽线 6 CAN_GND 参考地 7 CAN_H CAN_H 信号线 8 NC 9 NC 接器 可以通过选配的 DB9-OPEN5 转换器, 将 DB-9 连接器的信号转至 5 引脚的 OPEN5 连 3

7 表 2.3OPEN5 插座的引脚定义 引脚信号描述接口示意图 1 V- 网络电源负极 2 CAN_L CAN_L 信号线 3 CAN_SHIELD 屏蔽线 4 CAN_H CAN_H 信号线 5 V+ 网络电源正极 2.3 终端电阻如图 2.1 所示,PCIe-9140I 接口卡的 CAN 通道内建 120Ω 终端电阻, 接口卡通过短路帽连接或断开 JP1A JP1B JP1C JP1D 来选择对应 CAN0 CAN1 CAN2 CAN3 通道终端电阻是否接入总线网络 如图 2.2 来设定 CAN 通道是否使用使能 120Ω 终端电阻 出厂时, 跳线器默认短接状态, 即 120Ω 终端电阻接入对应总线网络 接口卡对应 CAN 通道位于一个 CAN 网络的端点, 请将对应通道的跳线器连接, 或者在对应 CAN 通道的 CAN_H 和 CAN_L 之间接上一个约 120Ω 终端电阻 PCIe-9140I 系列采用 CTM8251E 收发器, 如果网络上其他节点使用不同的收发器, 则终端电阻须根据收发器手册另行计算 图 2.1 板卡内部终端电阻的连接 4

8 图 2.2 终端电阻选择示意图 2.4 板卡安装 注意事项 PCIe-9140I 系列接口卡属于静电敏感产品, 出厂时使用专用防静电保护袋包装 因此, 在对接口卡进行操作时, 请注意采取必要的防护措施, 以保证接口卡不受人体接触静电损坏 安装 PCIe-9140I 接口卡要在 PC/ 工控机断电状态下进行 同样, 拆卸接口卡也应当在 PC/ 工控机断电的状态下进行 PCIe-9140I 系列接口卡没有任何开关和跳线用于分配中断和 I/O 地址, 这些都是由计算机 BIOS 自动分配的 因此, 在安装驱动程序之前板卡必须事先安装到 PCI-E 槽上 安装步骤如下 : 1. 关闭计算机电源, 打开计算机的机箱盖 ; 2. 将 PCIe-9140I 系列接口卡插入空闲的 PCI-E 插槽,x1 x4 x8 x16 插槽均可 ; 3. 拧紧固定板卡的螺钉 ; 4. 打开计算机电源, 此时 BIOS 会自动给 PCIe-9140I 接口卡分配中断和 I/O 地址 ; 5. 安装驱动程序 5

9 图 2.3 PCIe-9140I 系列 CAN 接口卡注意 : 请勿带电插拔 PCI-E 接口卡 ; 安装时严禁用手触摸器件, 防止静电损坏器件 产品尺寸 PCIe-9140I 的物理尺寸 : 主卡 103mm 120mm; 副卡 53mm 120mm( 含金属挡板 ), 其中挡板的尺寸如图 2.4 所示 图 2.4 挡板尺寸图 6

10 3. 安装驱动程序 以下是在 Windows XP 下安装 PCIe-9140I 接口卡驱动程序的步骤 安装之前必须保证板卡已经正确安装到 PC 机的 PCI-E 槽上 3.1 安装驱动程序 Windows XP 能自动检测新设备并激活 找到新的硬件向导 程序来安装设备驱动程序 如果此时系统没有提示发现新设备, 请检查硬件是否安装正确 1. 选择 从列表或指定位置安装, 如图 3.1, 单击 下一步 继续 图 3.1 从列表或指定位置安装 2. 如下图 3.2 所示, 标记 在搜索中包括这个位置, 然后点击 浏览 按钮 在弹出的文件夹浏览对话框中指定驱动程序 ( 产品附带光盘已提供 ) 的文件路径 单击 下一步 继续 7

11 3. 等待安装向导安装驱动程序 图 3.2 指定位置搜索 图 3.3 正在安装驱动 8

12 4. 提示 找到新的硬件向导 已经完成了驱动程序的安装 单击 完成 按钮结束安 装 5. 此时, 系统会提示新硬件已安装并可以使用了 图 3.4 提示找到新设备 3.2 检查驱动安装您可以通过 开始 控制面板 系统 检查设备的安装 选择 硬件 标签, 然后单击 设备管理器 按钮, 界面如下图 3.5 所示 图 3.5 打开设备管理器 如果设备安装成功, 在 设备管理器 窗口中应该可以看到 ZLGCAN 设备类下的 PCIe-9140IPCIeCAN Communication Card, 如下图 3.6 所示 9

13 图 3.6 驱动显示正常 3.3 卸载驱动程序 您可以通过 开始 控制面板 系统 卸载设备驱动 选择 硬件 标签, 然后单击 设 备管理器 按钮 10

14 图 3.7 系统属性 将鼠标移动到 ZLG CAN -> PCIe-9140IPCIeCAN Communication Card 上, 单击鼠 标右键, 在弹出菜单上选择 卸载 按钮 11

15 图 3.8 卸载驱动 在 确认设备删除 对话框中点击 确定 按钮 PCIe-9140I 的驱动将会被卸载 设 备管理器 会自动刷新并显示该设备已经被移除 12

16 4. 检查和维护 PCIe-9140I 接口卡的主要电气部件是半导体元件, 尽管它有很长的寿命, 但在不正确环境下也可能加速老化, 使寿命大打折扣 因此, 在设备使用过程中应该进行定期检查, 以保证使用环境保持所要求的条件 推荐每 6 个月到一年, 至少检查一次 在不利的环境条件下, 应该进行更频繁的检查 如表 4.1, 如果在维护过程中遇到问题, 请阅读下面的内容, 以便找到问题可能的原因 如果仍无法解决问题, 请联系广州致远电子有限公司 表 4.1 检查与维护 项目检查标准行动 使用电压表在电源输入端 电源供应在电源供应端检查电压波动 +12V DC 检查电源 采取必要措施 使电压波动在范围之内 检查周围环境温度 ( 包括封闭环境的内部温度 ) -0 C ~ +80 C 使用温度计检查温度并确 保环境温度保持在允许的 范围内 检查环境湿度 ( 包括封闭环境的内部湿度 ) 没有空调时相对湿度必 须在 10% ~90% 使用湿度计检查湿度并确 保环境湿度保持在允许范 围内 周围环境 检查灰尘 粉末 盐 金属屑的积累没有积累清洁并保护设备 检查水 油或化学喷雾碰撞到设备没有喷雾碰到设备如果需要清洁保护设备 检查在设备区域中易腐蚀或易燃气体检查震动和冲击水平检查设备附近的噪声源检查每个单元的连接并已经与下一个单元安全锁定检查电缆连接器完全插入和锁住 没有易腐蚀或易燃气体震动和冲击在规定范围内没有重要噪声信号源没有松动没有松动 通过闻或使用一个传感器检查如果需要, 安装衬垫或其它减震装置隔离设备和噪声源或保护设备把连接器完全压到一起和用滑块把它们锁住纠正任何不正确安装的连接器 安装接线 检查外部接线中是否有松动螺丝钉没有松动用螺丝刀拧紧螺丝钉 检查外部接线中的压接连接器 检查外部线电缆的损坏 在连接器间有足够的空 间 没有损坏 肉眼检查如果有必要则调节肉眼检查和如果有必须则替换电缆 13

17 5. 常见问题解答 1. 在安装的时候 ZLGCAN 驱动程序检测不到 PCIe-9140I 通讯卡 A. 设备没有安装 请把设备安装到空的 PCI-E 插槽上 B. 设备没有可靠的插入到系统的 PCI-E 插槽上 如果遇到这种情况, 请重新将设备插入到 PCI-E x1 插槽上 另一种可能是 PCI-E 插槽本身有问题 这时候可以尝试另一个 PCI-E 插槽, 直到系统能检测到设备为止 C. 主板没有可用的中断分配给设备 进入 BIOS 修改配置, 确保 PCI-E 中断可用 2. 板卡和驱动都安装正确, 但就是不能发送数据 A. 检查线缆连接是否正确 B. 线缆或板卡有问题 请尝试其他端口 线缆或另一块板卡 C. 检查终端电阻是否连接正确 D. 如果没有使用自收发模式, 请确保总线上有两个以上的节点存在 E. 调用 API 不正确, 请仔细阅读 API 文档, 并参考示例程序 3. 多通道大流量数据传送时占用较多的系统资源如何处理? 对于非智能通讯卡来说驱动要完成较多的工作, 因此不建议用于同时多通道的大数据量传输, 建议多个通道同时工作时数据流量不超过 1000 帧 / 秒, 当计算机性能较好时可以适当提高传输流量 由于 CAN 波特率本身就是一个限制帧流量的主要因素, 因此在较低波特率下系统资源将大大减少 CAN 系统在设计时应保证总线占用率不超过 60-70%, 在此范围内 PCIe-9140I 能满足大多数应用的需求 4. 通讯波特率如何设置? 附录 B 提供一组常用的波特率的设置值, 若要使用其他的波特率, 请参照 CAN 控制器 SJF1000 数据手册自行计算 需要注意 :PCIe-9140I 通讯卡的 CAN 控制器使用 16MHz 时钟, 用户自定义波特律时要根据该时钟频率进行计算 5. 系统进入待机或睡眠状态是否影响接收? 有影响 这时所有处理将停止, 最大可能导致硬件接收缓冲溢出错误 若有程序打开设备将尝试阻止系统进入待机或睡眠状态, 从而保证系统正常工作 使用 PCIe-9140I 通讯卡时请禁止系统的待机和睡眠功能 6. 如何处理应用中的错误? 错误主要分为函数调用错误和 CAN-bus 通讯错误两种 函数调用错误一般由参数错误引起, 如 : 设备号超出范围, 类型号错误等, 用 Win32 函数 GetLastError 返回的错误号是 87, 还有的是对未打开的设备进行操作, 实际是对一个非法句柄操作, 根据具体函数调用情况都有相应的 Win32 标准错误码提供, 用户可以使用 GetLastError 进行错误分析, 这部分除错工作一般应该在设计时完成 对于 CAN-bus 通讯错误, 一般由 CAN 网络引起, 也可能因用户设置不当而引起, 如 : 波特率设置不一致 没有启动 CAN 控制器便调用发送函数等 大部分错误已经在 14

18 设备驱动中作了简单的处理, 如果要进行更深层次的错误分析和处理, 可以调用 VCI_ReadCANStatus 函数 另外需要注意的是数据溢出中断错误, 它的产生有两种可能 :(1) 软件接收缓区冲溢出 这说明应用程序无法及时处理接收到的数据, 这时用户应该优化应用程序或更改通讯策略 (2) 硬件接收缓冲区溢出 产生这种错误是由于接收端 PC 中断延迟太大而引起的 只能通过提升计算机性能或协调其余节点适当降低发送速度来解决 7. 打开关闭设备要注意哪些事项? PCIe-9140I 提供 4 个 CAN 端口 VCI_OpenDevice 和 VCI_CloseDevice 函数一般在应用程序初始化和退出时只需要调用一次 当关闭设备时若能当前端口不再使用, 应该先调用 VCI_ResetCAN 函数使当前端口脱离 CAN 总线, 设备驱动程序只会在最后一个设备句柄关闭时才自动调用 VCI_ResetCAN 退出 CAN 总线的连接 8. 如何使用中断方式操作通讯卡? PCIe-9140I 不提供直接操作中断的接口, 因为中断已经在驱动程序中处理了 需要在应用程序中操作中断的多数原因是 : 程序不知道数据何时能到达设备, 需要得到一个接收消息的触发才能从缓冲读取数据 解决这个问题的一般手段是使用多线程 ( 或多任务 ) 即启动一个新的线程, 在线程中循环调用 VCI_Receive 函数来查询接收缓冲 VCI_Receive 内部已实现了阻塞机制, 在缓冲里没有数据时会挂起调用线程, 这时不会占用 CPU 的时间, 应用程序仍然可以处理其他事务 9. 如何更好的使用 VCI_Transmit 发送函数? PCIe-9140I 设备驱动提供 16 帧软件发送缓冲 FIFO, 每次 VCI_Transmit 调用最多发送 16 帧数据, 发送设备的发送速度由当前计算机软硬件性能决定, 一般连续发送速度在 2000 至 4000 帧 / 秒之间 ( 标准数据帧 11Bytes,1Mbps), 若发送速度过快将有可能使远端接收设备数据溢出而失去响应, 这样用户可在应用编程中适当添加延时以降低发送速度 发送过程中每一帧都有超时限制, 单帧发送时超时时间约 2 秒, 一次发送多帧时最后一帧发送超时为 2 秒, 其余为 1 秒 发送超时一般由于 CAN 总线繁忙且当前节点优先级较低时发生, 并不是函数调用或通讯错误, 用户可以编程实现重发 ( 一般中低速网络极少发生发送超时事件 ), 因此, 在系统设计时注意保证 CAN 总线占用不应该超过总线容量的 60-70% 10. 如何更好的使用 VCI_Receive 函数? 设备驱动提供 帧软件接收缓冲区, 这为应用编程人员提供了充足的反应处理时间 当软件接收缓冲数据溢出时设备驱动程序将调用 VCI_ResetCAN 复位 CAN 总线, 同时置位 CAN status 的数据溢出中断标志位, 注意软件缓冲溢出和 CAN 控制器硬件缓冲溢出都是使用该标志位 接收函数提供 Wait 参数适合用于多线程编程, 函数内部封装一个阻塞函数, 其参数 Wait 含义如同 Win32 的 WaitForSingleObject 的 dwmilliseconds 参数 ( 请参考 Win32API 15

19 说明 ), 它为 VCI_Receive 指定一个超时返回时间, 单位为毫秒 当 Wait 为 0 时函数调用时立即返回当前成功读取到的帧数, 若接收缓冲为空则返回 0 当 Wait 非 0 时, 若函数调用时接收缓冲中已经有数据则马上返回成功读取的帧数, 若这时接收缓冲为空, 函数将等待一个指定的超时到达或接收到数据才返回成功接收的帧数 当 Wait 为 0xFFFFFFFF 时为无限等待直到有数据接收到, 建议不要把 Wait 设得过大, 无限等待更应该注意 nframes 等于 0 时函数实际是一个通知消息返回, 不要求读接收缓冲区, 是一个特殊的技巧性用法 注意 : 若在主线程中调用 VCI_Receive 函数并且 Wait 非 0 则有可能引起应用程序暂时性的失去响应 若通过查询方式接收, 一般应该把 Wait 设为 0 16

20 6. 附录 A:CAN2.0B 协议帧格式 CAN2.0B 标准帧 CAN 标准帧信息为 11 个字节, 包括两部分 : 信息和数据部分 前 3 个字节为信息部分 字节 1 FF RTR DLC( 数据长度 ) 字节 2 ( 报文识别码 ) ID.10 ID.3 字节 3 ID.2 ID.0 字节 4 数据 1 字节 5 数据 2 字节 6 数据 3 字节 7 数据 4 字节 8 数据 5 字节 9 数据 6 字节 10 数据 7 字节 11 数据 8 字节 1 为帧信息 第 7 位 (FF) 表示帧格式, 在标准帧中,FF=0; 第 6 位 (RTR) 表 示帧的类型,RTR=0 表示为数据帧,RTR=1 表示为远程帧 ;DLC 表示在数据帧时实际的数 据长度 字节 2 3 为报文识别码,11 位有效 字节 4~11 为数据帧的实际数据, 远程帧无效 CAN2.0B 扩展帧 CAN 扩展帧信息为 13 个字节, 包括两部分, 信息和数据部分 前 5 个字节为信息部分 字节 1 FF RTR DLC( 数据长度 ) 字节 2 字节 3 字节 4 ( 报文识别码 ) ID.28 ID.21 ID.20 ID.13 ID.12 ID.5 字节 5 ID.4 ID.0 字节 6 数据 1 字节 7 数据 2 字节 8 数据 3 字节 9 数据 4 字节 10 数据 5 字节 11 数据 6 字节 12 数据 7 字节 13 数据 8 17

21 字节 1 为帧信息 第 7 位 (FF) 表示帧格式, 在扩展帧中,FF = 1; 第 6 位 (RTR) 表示帧的类型,RTR=0 表示为数据帧,RTR=1 表示为远程帧 ;DLC 表示在数据帧时实际的数据长度 字节 2~5 为报文识别码, 其高 29 位有效 字节 6~13 为数据帧的实际数据, 远程帧无效 18

22 7. 附录 B:SJF1000 标准波特率 序号 Baudrate 晶振频率 =16MHz (Kbps) BTR0 (Hex) BTR1 (Hex) 1 5 BF FF 2 10* 31 1C 3 20* 18 1C FF 5 50* 09 1C FF 7 100* 04 1C 8 125* 03 1C FA * 01 1C FA * 00 1C B * * 注 : 带 * 号的是 CIA 协会推荐的波特率 19

23 8. 免责声明 广州致远电子有限公司隶属于广州立功科技股份有限公司 本着为用户提供更好服务的原则, 广州致远电子有限公司 ( 下称 致远电子 ) 在本手册中将尽可能地为用户呈现详实 准确的产品信息 但介于本手册的内容具有一定的时效性, 致远电子不能完全保证该文档在任何时段的时效性与适用性 致远电子有权在没有通知的情况下对本手册上的内容进行更新, 恕不另行通知 为了得到最新版本的信息, 请尊敬的用户定时访问致远电子官方网站或者与致远电子工作人员联系 感谢您的包容与支持! 20

24 销售与服务网络 广州致远电子有限公司 地址 : 广州市天河区车陂路黄洲工业区 7 栋 2 楼 邮编 : 网址 : 全国服务热线电话 : 广州总公司 广州市天河区车陂路黄洲工业区 7 栋 2 楼 上海分公司 上海市北京东路 668 号科技京城东楼 12E 室 北京分公司 北京市丰台区马家堡路 180 号蓝光云鼎 208 室 深圳分公司 深圳市宝安区新安街道海秀路 21 号龙光世纪大厦 A 座 1205 武汉分公司武汉市洪山区民族大道江南家园 1 栋 3 单元 602 室杭州分公司杭州市西湖区紫荆花路 2 号杭州联合大厦 A 座 4 单元 508 室 南京分公司南京市秦淮区汉中路 27 号友谊广场 17 层 F G 区成都分公司四川省成都市高新技术开发区天府大道中段 500 号东方希望天祥广场 1 栋 C 座 3521 室 ( 地铁世纪城站 B 出口 ) 郑州分公司 河南省郑州市中原区建设西路 118 号 1 号楼 3 单 元 13 层 1302 室 ( 华亚广场 ) 重庆分公司 重庆市渝北区龙溪街道新溉大道 18 号山顶国宾城 11 幢 4-14 西安办事处 西安市长安北路 54 号太平洋大厦 1201 室 天津办事处 天津市河东区津塘路与十一经路交口鼎泰大厦 1004 青岛办事处 山东省青岛市李沧区枣园路 11 号银座华府 1 号楼 2 单元 1901 室 21

修 订 历 史 版 本 日 期 原 因 V1.00 2014/12/19 创 建 文 档 i

修 订 历 史 版 本 日 期 原 因 V1.00 2014/12/19 创 建 文 档 i User Manual UM01010101 V1.00 Date: 2014/12/19 类 别 关 键 词 摘 要 内 容 USBCAN 高 性 能 USBCAN-2A/Ⅱ/Ⅰ 符 合 CAN2.0A/B 规 范, 支 持 5Kbps~1Mbps 之 间 的 任 意 波 特 率, 提 供 多 个 操 作 系 统 的 设 备 驱 动, 满 足 各 种 应 用 需 求, 为 工 业 通 讯 CAN

More information

ISA-9620用户手册.PDF

ISA-9620用户手册.PDF ISA-9620 CAN v1.0 2004 01 08-1 - -------------------------------------------------------1 -------------------------------------------------------1 -------------------------------------------------------1

More information

<4D F736F F D D B7C7D6C7C4DC32CDA8B5C043414EBDD3BFDABFA8CAFDBEDDCAD6B2E12E646F63>

<4D F736F F D D B7C7D6C7C4DC32CDA8B5C043414EBDD3BFDABFA8CAFDBEDDCAD6B2E12E646F63> DataSheet DS01020405 Rev 1.22 产品数据手册 概述 接口卡是一款性能优秀的 2 通道 CAN 通讯接口卡, 采用 32 位 PCI 数据线, 兼容 PCI2.2 规范, 即插即用 ; 接口卡的每一路 CAN 通道均集成独立的隔离保护电路 符合 CAN2.0A/B 规范, 支持 5Kbps ~ 1Mbps 之间的任意波特率, 并提供多个操作系统中的设备驱动 工具软件等, 能真正的满足客户的各种应用需求,

More information

修订历史 版本日期原因 V /11/01 创建文档 i

修订历史 版本日期原因 V /11/01 创建文档 i Data Sheet DS20151101 V1.00 Date: 2016/03/08 概述 USBCAN-4E-U 是广州致远电子开发的一款基于 Linux 操作系统的高性能 CAN 接口卡, 其兼容 USB2.0 全速总线规范, 集成 1~4 路 CAN-bus 接口,CAN 通道集成独立的电气隔离保护电路 接口卡使 PC 通过 USB 端口连接至 CAN 网络, 构成一个 CAN-bus 控制节点

More information

USBCAN-II Pro

USBCAN-II Pro USBCAN-I OBD 工业级 USB-CAN 转换器 用户手册 文档版本 :V3.01 (2015/04/22) 修订历史 版本 日期 原因 V1.00 2013/6/16 创建文档 V2.01 2013/12/20 修正设备工作参数 V3.01 2015/04/22 添加部分参数 2 目 录 1. 功能简介... 4 1.1 功能概述... 4 1.2 性能特点... 4 1.3 典型应用...

More information

USBCAN-Mini 用户手册

USBCAN-Mini 用户手册 USBCAN-Mini 工业级 USB-CAN 转换器 用户手册 文档版本 :V4.20 (2018/07/18) 修订历史 版本 日期 原因 V1.00 2013/6/16 创建文档 V2.01 2013/12/20 修正设备工作参数 V3.01 2015/04/22 添加部分参数 V3.50 2016/07/16 添加 OBDII 功能 V4.01 2017/01/13 添加 CANopen 功能

More information

CANlite\(mini\)并口CAN接口卡

CANlite\(mini\)并口CAN接口卡 CANlite CANmini 并口 CAN 接口卡 用户手册 v1.2 广州周立功单片机发展有限公司 2003 年 11 月 26 日 - 1 - 目录 一 版权信息 -------------------------------------------------------3 二 功能特点 -------------------------------------------------------3

More information

修订历史版本 日期 原因 V /01/16 创建文档 V /04/10 发布 i

修订历史版本 日期 原因 V /01/16 创建文档 V /04/10 发布 i User Manual UM01010101 V1.00 Date: 2018/04/10 产品用户手册 类别 关键词 摘要 内容 USB 转 CANFD 卡 USBCANFD-100U/200U USBCANFD-100U/200U 产品性能描述与使用指导 广州致远电子有限公司 修订历史版本 日期 原因 V0.90 2018/01/16 创建文档 V1.00 2018/04/10 发布 i 目录

More information

Microsoft Word - PCICAN-9820产品用户手册_V1.00.doc

Microsoft Word - PCICAN-9820产品用户手册_V1.00.doc 修订历史 版本日期原因 V1.00 2011/10/09 创建文档 - 2 - 目 录 第 1 章产品简介...4 1.1 概述...4 1.2 产品特性...5 第 2 章硬件安装与接线...6 2.1 硬件安装...6 2.2 接口定义...6 2.3 终端电阻...7 第 3 章驱动程序安装...9 3.1 驱动程序安装...9 3.2 驱动程序检查...12 附录 1 CAN2.0B 协议帧格式...13

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

安规试验报告

安规试验报告 试验报告 T E S T R E P O R T 报告编号 (Report No.):AGSB20181204 产品名称 Description 产品型号 Model 制造厂商 Manufacture 委托单位 Client 试验项目 Test Item 试验日期 Test Date 试验结论 Conclusion CAN 总线模块 CANFDBridge 广州致远电子有限公司广州致远电子 - 工业互联网事业部安规试验

More information

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i Selection Guide AN01010101 V1.00 Date: 2017/09/28 产品应用笔记 类别 内容 关键词 DTU ZigBee WiFi 433/470 LoRa 天线选型 摘 要 总结天线型号及参数, 方便选择相关配件 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2017/09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics

More information

修订历史版本 日期 原因 V /12/19 创建文档 V /08/01 修改公司名称, 分公司联系方式 i

修订历史版本 日期 原因 V /12/19 创建文档 V /08/01 修改公司名称, 分公司联系方式 i User Manual UM01010101 V1.01 Date: 2017/08/01 类别关键词摘要 内容 USBCAN 高性能 USBCAN-2A/Ⅱ/Ⅰ 符合 CAN2.0A/B 规范, 支持 5Kbps~1Mbps 之间的任意波特率, 提供多个操作系统的设备驱动, 满足各种应用需求, 为工业通讯 CAN 网络提供了可靠性 高效率的解决方案 广州致远电子有限公司 修订历史版本 日期 原因

More information

Microsoft Word - PC104-CAN 用户手册.doc

Microsoft Word - PC104-CAN 用户手册.doc PC104-CAN 单路非智能 CAN 接口卡 用户手册 V1.0 广州周立功单片机发展有限公司 2004-10-10 目录 一 版权信息...3 二 功能特点...3 三 硬件参数...3 3.1. 产品清单...3 3.2. 产品外观...4 3.3. 设备参数...4 3.4. DB9 针型插座引脚定义...4 3.5. CAN 总线连接...5 四 设备安装...6 4.1. 光盘资源...6

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

(Microsoft Word - ACUSB-132B_131B \261\343\320\257\312\275\271\244\322\265\323\303USB\275\323\277\332CAN\277\250 \312\375\276\335\312\326\262\341_DataSheet_ V1.0)

(Microsoft Word - ACUSB-132B_131B \261\343\320\257\312\275\271\244\322\265\323\303USB\275\323\277\332CAN\277\250 \312\375\276\335\312\326\262\341_DataSheet_ V1.0) (DataSheet) 南京来可电子科技有限公司 电话 :025-83197120 传真 :025-83197121 网址 :http://www.njlike.com 地 址 : 南京市珠江路 280 号珠江大厦 809~811 室 南京来可电子科技有限公司 1 / 11 目录 1. 简介... 3 1.1 介绍... 3 1.2 参数规格... 4 1.3 订购选型... 4 2. 接口描述...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

修订历史 版本日期原因 V /07/19 创建文档 i

修订历史 版本日期原因 V /07/19 创建文档 i User Manual UM01707191 V1.0 Date: 2017/07/19 类别关键词摘要 内容 CAN, 时序分析, 位时间主要介绍 ZDS4000 示波器上 CAN 时序分析软件的应用, 其测试项目遵循通用全球 CAN 总线测试规范 GMW14241 的测试标准 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2017/07/19 创建文档 i 目录 1. 概述... 1

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系 东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系列东亚 汇添盈 结构性存款产品 TM1099( 人民币 ) 公募 1 级 境内挂钩投资产品系列 东亚

More information

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采 Data Sheet Camera-Demo 配件版 DS20151101 V1.00 Date: 2016/5/30 概述 Camera-Demo 是开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采集四路模拟摄像头信号, 并转为数字信号, 通过 CSI 接口连至 CPU 进行处理 主要用于 EPC-6G2C-L 和 IoT-6G2C-L 两款工控主板 CSI 摄像头评估

More information

Microsoft Word - GY8801_CanTestboard使用说明书.doc

Microsoft Word - GY8801_CanTestboard使用说明书.doc GY8801 工业级 CAN 总线开发板产品使用说明书 说明书版本 :V1.0 1 目录 第一章产品简介... 3 1.1 产品概述... 3 1.2 产品性能与特点... 3 1.3 产品销售清单... 4 1.4 产品光盘... 4 1.5 技术支持与服务... 4 第二章硬件描述... 5 2.1 硬件资源及功能... 5 2.2 接口描述... 6 第三章使用说明... 7 3.1 Keil

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

投影片 1

投影片 1 ICP DAS DeviceNet 解决方案 1501 应用结构 泓格 DeviceNet 系列产品 DeviceNet Master 产品 PC Board + DeviceNet 主端函式库 PC Board PAC USB DeviceNet 主端解决方案 PISO-CAN 200U PISO-CAN 400U PEX-CAN 200i PCM-CAN 100 PCM-CAN 200 PCM-CAN

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

USBCAN-II Pro 用户手册

USBCAN-II Pro 用户手册 USBCAN-II Pro 工业级 USB-CAN 转换器 用户手册 文档版本 4.20(2018/07/18) 修订历史 版本 日期 原因 V1.00 2013/06/16 创建文档 V2.01 2013/12/20 修正设备工作参数 V3.01 2015/04/22 添加部分参数 V3.50 2016/07/16 添加 OBDII 功能 V4.01 2017/01/13 添加 CANopen 功能

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

User Manual AWorks M1050 内部 RAM 配置指南 产品用户手册 V1.0.0 Date:2018/07/05 产品用户手册 类别 内容 关键词 FlexRAM D-TCM I-TCM OCRAM 摘 要 本文描述了如何配置 i.mx RT105x 的内部

User Manual AWorks M1050 内部 RAM 配置指南 产品用户手册 V1.0.0 Date:2018/07/05 产品用户手册 类别 内容 关键词 FlexRAM D-TCM I-TCM OCRAM 摘 要 本文描述了如何配置 i.mx RT105x 的内部 User Manual AWorks M1050 内部 RAM 配置指南 0000000000V1.0.0 Date:2018/07/05 类别 内容 关键词 FlexRAM D-TCM I-TCM OCRAM 摘 要 本文描述了如何配置 i.mx RT105x 的内部 RAM 广州致远电子有限公司 修订历史版本 日期 原因 发布 1.0.0 2018/5/1 创建文档 发布 1.0.1 2018/7/5

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

上海派恩科技有限公司控制系统硬件介绍

上海派恩科技有限公司控制系统硬件介绍 DX-M320 2024P01.doc V1.0.0 2005-6-8 1 DX 05V 022.7mA 90 PWM ISO CAN1 CANOPEN ISO CAN2 CAN2.0B 1030V 24V 10ms 248 WORD AMPSEAL 0.7Kg 128K 128K IP67 300ms 52 DIAIDOPWMPI 1 I/O DI: AI: PI: DO: PWM: DI AI

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出,

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, Data Sheet DS01010101 V1.01 Date:2019/03/08 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58%

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58% 17 1 2012 2 Vol 17 No 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb 2012 CAN 150080 CAN CAN CAN SAE J1939 CAN CAN CAN CAN SAE J1939 TP23 A 1007-2683201201- 0068-06 A Design Method of CAN

More information

PowerPoint 簡報

PowerPoint 簡報 储存设备信息 1. MSI 建议您在升级前先向当地服务中心索取经测试的存储设备列表, 以避免可能遇到的硬件兼容性问题 2. 为达到最高的 SSD 效能,MSI 建议使用经 MSI 认证过的 SSD 并参考栏位内标注的 Stripe Size 设定 3. 2.5 寸 vs. msata vs. M.2 SSD 我需要哪一种 M.2 SSD Drive? 1. 插槽 & 卡榫 : 请根据您的笔记本来准备正确的

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

Microsoft Word PCI使用说明书.doc

Microsoft Word PCI使用说明书.doc 488-PCI GPIB 转换接口卡 使用说明 深圳市金鹏飞科技发展有限公司 目录 目录...2 一 产品概述...3 二 产品特点...3 三 产品外观...4 四 安装步骤...4 1 安装 GPIB 库软件包...4 2 安装 488-PCI 接口卡...5 3 配置 488-PCI 接口卡...5 4 PCI-GPIB 接口卡的测试...8 5 与设备进行交互式通讯的测试...8 五 应用环境配置...8

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

教育部高等学校教学

教育部高等学校教学 i 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 2007 2008 2009 13 2007 50 98 6 38 1 13 8 1 2 20 8 3 1000 2010 1000 13 13 1 20 80 1984 25 8 21 2 1 1 26 1 5 1 3 2 1987 4.5 2 9.5 13.5 3 1 2 1990 9 3 22

More information

实施生成树

实施生成树 学习沉淀成长分享 Spanning-tree 红茶三杯 ( 朱 SIR) 微博 :http://t.sina.com/vinsoney Latest update: 2012-06-01 STP 的概念 冗余拓扑 Server/host X Router Y Segment 1 Switch A Switch B Segment 2 冗余拓扑能够解决单点故障问题 ; 冗余拓扑造成广播风暴, 多帧复用,

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8 Application Note AN05220038 V1.00 Date: 2010/05/14 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 修订历史 版本日期原因 V1.00 2010/05/14 创建文档 Date: 2010/05/14 2010 Guangzhou ZHIYUAN Electronics Stock Co., Ltd. Rev

More information

行业

行业 PCI-1751 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...3 2.2.3 JP4...4 2.2.4...4 2.3...5 2.3.1...6 2.3.2...7 2.4...12 2.4.1...13 2.4.2...13 2.4.3...14 3.1...16 3.1.1 /...16 3.1.2 /...17

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

同轴电缆 微型同轴电缆 0.81 mm 微型同轴电缆 1.13 mm 微型同轴电缆 1.32 mm 微型同轴电缆 1.37 mm 微型同轴电缆 Caledonian 1.48 mm 微型同轴电缆 RG174 微型同轴电缆 RG178 微型同轴电缆 RGD178 微型同轴电缆 RG179 微型同轴电缆

同轴电缆 微型同轴电缆 0.81 mm 微型同轴电缆 1.13 mm 微型同轴电缆 1.32 mm 微型同轴电缆 1.37 mm 微型同轴电缆 Caledonian 1.48 mm 微型同轴电缆 RG174 微型同轴电缆 RG178 微型同轴电缆 RGD178 微型同轴电缆 RG179 微型同轴电缆 同轴电缆 0.81 mm 1.13 mm 1.32 mm 1.37 mm Caledonian 1.48 mm RG174 RG178 RGD178 RG179 RG316 116 www.caledonian-cables.co.uk www.caledonian-cables.net 116 0.81 mm 内导体 镀银铜 7 x 0.05 mm 绝缘 PFA Φ0.40 mm 外导体 镀银铜

More information

XGW1-12户外环网柜.indd

XGW1-12户外环网柜.indd ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2008 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000

More information

修订历史版本 日期 原因 V 创建文档 V 修改格式 V 修改图片 V 增加布线规则 i

修订历史版本 日期 原因 V 创建文档 V 修改格式 V 修改图片 V 增加布线规则 i Technical Note CAN-bus 应用技术 TN01010101 V2.00 Date:2013/08/25 工程技术笔记 类别 内容 现场总线 CAN-bus 关键词 波特率通讯距离 拓扑结构通讯电缆 终端电阻连接器 本文简介建设一个实际的 CAN-bus 网络时, 对通讯电 摘要 缆 总线连接器的选择与计算, 以及一些保障通讯可靠 提 高抗干扰能力的经验措施 广州致远电子股份有限公司

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

2

2 2007 04 2 3 [2002]17 [2004]2135 [2004]2412 [2005]1306 [2005]1534 4 5 6 [2006]18 7 8 9 16 31 10 11 1 1 N 2 N 1 1 M N 2 2...... 1 1 N 2 N 1 1 M N 2 2...... 12 13 2+n 2+n VPN VPN VPN VPN n VPN VPN VPN VPN

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 1 高速同步通信... 2 1.1 SDLC-ETH 高速串口以太网转换器... 2 1.2 SDLC-PCIE 高速同步串口卡 --- PCI Express 总线接口卡... 5 1.3 SDLC-HCM 高速嵌入式通信模块... 7 2 HDLC 通信... 9 2.1 HDLC-ATC 空管数据通信服务器... 9 2.2 HDLC-ETH 串口以太网转换器 --- 双网口协议转换器...

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31 Data Sheet 系列 3KVDC 隔离标准模拟量输入 :0~+5V V1.06 Date: 2016/09/27 概述 ZCM23XX 系列有源隔离放大器是一种前级 0~5V 电压信号输入, 后级多种信号类型输出的高性能模拟信号隔离调理模块 模块信号输入端 输出端及电源端相互隔离, 具有高达 3.0KV DC 耐压能力, 可有效解决电源与信号的环路干扰问题, 极大地提高系统的可靠性 产品特性

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

组合同轴电缆 CK 059/H2F10:RG59BX + 2 x 0.75mm x 0.5mm 2 结构 PVC PE PVC 2 2 PVC 3 3 内导体 1 铜包钢 (CCS) 0.58 mm 绝缘 低密度 PE Φ3.70 ± 0.10 mm 外导体 ( 屏蔽 ) 裸铜 180

组合同轴电缆 CK 059/H2F10:RG59BX + 2 x 0.75mm x 0.5mm 2 结构 PVC PE PVC 2 2 PVC 3 3 内导体 1 铜包钢 (CCS) 0.58 mm 绝缘 低密度 PE Φ3.70 ± 0.10 mm 外导体 ( 屏蔽 ) 裸铜 180 组合同轴电缆 CK 059/H2F10:RG59BX + 2 x 0.75mm 2 + 10 x 0.5mm 2 PVC PE PVC 2 2 PVC 3 3 内导体 1 铜包钢 (CCS) 0.58 mm 绝缘 低密度 PE Φ3.70 ± 0.10 mm 外导体 ( 屏蔽 ) 裸铜 180 x 0.10 mm 屏蔽覆盖率 94% 护套 PVC Φ6.20 ± 0.10 mm 内导体 2 裸铜 2x

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

PCL-746+ 安装手册

PCL-746+ 安装手册 PCI-1612CU 快速入门手册 安装步骤一 安装驱动程序二 安装测试程序三 安装硬件四 测试硬件五 常见问题和处理方法六 寻求电话支持七 更换和维修流程 1 将驱动光盘放入光驱中, 驱动安装程序会自动运行 如果没有自动运行, 请手动运行光盘上的 autorun.exe 文件 安装程序运行之后, 点击 Continue 按钮 一 安装驱动程序 2 点击 Installation 按钮 3 点 PCI-1612CU

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发 Data Sheet DS01010101 V1.02 Date: 2019/0308 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

注意事项 本报告中所描述的试验现象和试验结果仅适用于受试产品, 最终解释权归广州致远电 子有限公司 环境实验室 为确保试验结果的准确性和可重复性, 该实验室会不定期地 与第三方权威检测认证机构进行试验数据的比对, 以确保结果的可对比性 其他相关注意事项 : 1. 如果该报告没有签名或盖章, 则视为无

注意事项 本报告中所描述的试验现象和试验结果仅适用于受试产品, 最终解释权归广州致远电 子有限公司 环境实验室 为确保试验结果的准确性和可重复性, 该实验室会不定期地 与第三方权威检测认证机构进行试验数据的比对, 以确保结果的可对比性 其他相关注意事项 : 1. 如果该报告没有签名或盖章, 则视为无 试验报告 T E S T R E P O R T 报告编号 (Report No.):HKSB20180104-02 产品名称 Description 产品型号 Model 制造厂商 Manufacture 委托单位 Client 试验项目 Test Item 试验日期 Test Date 试验结论 Conclusion 温度测量接口模块 TPS02R 广州致远电子有限公司广州致远电子 -Z-Lab-

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

LETD型LED灯炮规格的更改

LETD型LED灯炮规格的更改 2018 十二月 1/10 页 软件错误问题软件错误 1 Automation Organizer(WindLDR) 软件之修复 针对版本 : WindLDR 版本 8.2.0 至版本 8.9.1 (Automation Organizer 版本 3.20 至 版本 3.12.1) 注 : 包括由官网下载的版本 当使用以上版本软件, 软件错误 1 将会出现在以下型号 : FC6A CPU 模块 -

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

µ⁄∂˛’¬ µ˜ÕÍÀ≥–Ú_∏ƒ

µ⁄∂˛’¬ µ˜ÕÍÀ≥–Ú_∏ƒ 目 录 NS100 ~ NS630 固定式完整断路器... / NS100 ~ NS630 插入式完整断路器... /6 NS100 ~ NS630 抽出式完整断路器... /9 NS80 ~ NS630 用于电动机保护的完整... /1 NS100 ~ NS630 直流断路器... /14 Vigicompact NS 带漏电保护功能的完整断路器... /15 NS100 ~ NS630 带电动操作机构的完整断路器...

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

rptProductDatasheet

rptProductDatasheet 益处 拥有成本更低, 预热速度快 50%, 节能, 低功耗 易于集成, 各种满刻度 法兰和接口, 标配两个 轻松一键调零或远程信号调零指令, 可调零点偏移 诊断端口用于快速维修和维护 两年保修服务, 先进的加热概念和真空计保护延长了使用寿命 卓越的信号稳定性和可重复性, 即使是最苛刻的等离子应用, 都无需长期执行重新校准 合规性与标准 :CE EN UL SEMI RoHS 1 订购信息 2 精度

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

23 国药准字 S 长春生物制品研究所 国药准字 S 华兰生物工程 ( 苏州 ) 有限公司 国药准字 S 南京华辰生物工程有限公司 国药准字 S 河南省

23 国药准字 S 长春生物制品研究所 国药准字 S 华兰生物工程 ( 苏州 ) 有限公司 国药准字 S 南京华辰生物工程有限公司 国药准字 S 河南省 人血白蛋白 来源 : 国药准字查询网网址 : https://www.yaozui.com/yaopin/791-renxiebaidanbai 剂型 类别 规格 注射剂 生物制品 2g/ 瓶 (10%,20ml) 批准文号 188 个 ID 批准文号 厂家 批准日期 1 国药准字 S20171002 华兰生物工程重庆有限公司 2017-05-17 2 国药准字 S20163004 武汉中原瑞德生物制品有限责任公司

More information

行业

行业 PCI-1762 PCI-1762 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4 PCI...2 1.1.5 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1 NC/NO(/)...3 2.2.2...4 2.2.2 ID...5 2.3...5 2.3.1...5 2.3.2...7 2.4...12 2.4.1...12

More information

<4D F736F F D20D6D0C9BDB4F3D1A7C6DAC4A9BFBCCAD4D1F9CCE2A3A8B2D9D7F7CFB5CDB3A3A92E646F63>

<4D F736F F D20D6D0C9BDB4F3D1A7C6DAC4A9BFBCCAD4D1F9CCE2A3A8B2D9D7F7CFB5CDB3A3A92E646F63> 中 山 大 学 期 末 考 试 样 题 课 程 名 称 : 网 络 学 院 操 作 系 统 原 理 专 业 : 年 级 : 学 号 : 姓 名 : 成 绩 : 一 选 择 题 ( 每 小 题 2 分, 共 40 分 ) 1. 操 作 系 统 是 计 算 机 系 统 中 必 不 可 少 的 一 个, 它 是 程 序 模 块 的 集 合, 用 于 管 理 和 控 制 软 硬 件 资 源 组 织 工 作

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

Application description

Application description 常问问题 05/2014 WinCC V7.2 与 S7-1200/1500 PLC 常规通讯 WinCC V7.2 S7-1200 S7-1500 http://support.automation.siemens.com/cn/view/zh/92378435 目录 1 概述... 3 2 WinCC V7.2 与 S7-1200 PLC 常规通讯... 3 2.1 设置 PLC 通讯参数...

More information

铁路机车车辆用电缆

铁路机车车辆用电缆 SPC 上力缆 塑料绝缘屏蔽电线 PVC INSULATION,FLEXIBLE SHIELDED WIRE SHANGHAI POWER CABLE & WIRE CO., LTD. - 1 - 塑料绝缘屏蔽电线 额定电压 / V AVP 铜芯聚氯乙烯绝缘安装用屏蔽电线 固定敷设 RVP 1 铜芯聚氯乙烯绝缘屏蔽软电线铜芯聚氯乙烯绝缘屏蔽聚氯乙烯护套软电线铜芯聚氯乙烯绝缘缠绕屏蔽聚氯乙烯护套软电线

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

IT 200 IEC61158 ISA 1984 SP-50 IEC 1985 1986 1987 IEC 61158 Profibus FIP Rosemount Profibus Siemens Honeywell FIP Bailey ISP 1992 WorldFIP 1993 FF 1994 1998 FF FF P-Net Profibus WorldFIP Foundation Fieldbus

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

ACR38U-R 技术规格书 V1.01

ACR38U-R 技术规格书 V1.01 ACR38U-R 智能卡读写器 技术规格书 V1.01 如有任何更改, 恕不另行通知 目录 1.0. 简介... 3 1.1. 智能卡读写器... 3 1.2. 功能性设计... 3 1.3. 即插即用... 3 2.0. 特性... 4 3.0. 支持的卡片类型... 5 3.1. MCU 卡... 5 3.2. 存储卡... 5 4.0. 典型应用... 6 5.0. 技术规格... 7 Page

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

<4D F736F F D20BBF9D3DA43414E2D627573D7DCCFDFB5C4BAA3B9D8B3B5B3A1C9E8B1B8CDF82E646F63>

<4D F736F F D20BBF9D3DA43414E2D627573D7DCCFDFB5C4BAA3B9D8B3B5B3A1C9E8B1B8CDF82E646F63> 基于 CAN-bus 总线的海关车场设备网方案 广州周立功单片机发展有限公司 2005 年 8 月 10 日 目录 基于 CAN-bus 总线的海关车场设备网方案...1 1.1 CAN-bus 总线介绍...1 1.2 现场的应用需求...1 1.3 CAN-bus 实施方案...2 1.3.1 网络拓扑结构...2 1.3.2 CAN-bus 网络布线...3 1.3.3 通讯设备的可靠性...3

More information

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 1812 3. 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 : UL / cul / TUV 用途 1. USB, HDMI, IEEE 1394 接口 2. 个人电脑 主板

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information