简介 赛灵思 Zynq-7000 AP SoC 在单个器件中紧密集成了可编程逻辑 (PL) 与功能丰富的双核 ARM Cortex -A9 处理系统 (PS) 它使系统设计人员能够开发出更智能 更灵活 更高集成度的嵌入式系统 Zynq-7000 AP SoC 可用于科研 医疗 工业和汽车系统, 有效

Size: px
Start display at page:

Download "简介 赛灵思 Zynq-7000 AP SoC 在单个器件中紧密集成了可编程逻辑 (PL) 与功能丰富的双核 ARM Cortex -A9 处理系统 (PS) 它使系统设计人员能够开发出更智能 更灵活 更高集成度的嵌入式系统 Zynq-7000 AP SoC 可用于科研 医疗 工业和汽车系统, 有效"

Transcription

1 白皮书 :Zynq-7000 SoC WP465 (v1.0) 2015 年 11 月 20 日 利用高性能 Zynq-7000 All Programmable SoC 满足嵌入式 HMI 要求 作者 :Mark Timmons ( 系统架构师, 赛灵思公司 ) Gordan Galic ( 市场营销经理, Xylon d.o.o.) 赛灵思 Zynq All Programmable SoC, 运行 Xylon 图形引擎 IP, 可加速产品开发, 并使 HMI 设计人员能够集中精力实现主要的市场差异化 摘要采用 Zynq-7000 All Programmable SoC (AP SoC) 技术的高集成度 SoC 借助面向工业 科研与医疗的高效可扩展人机接口 (HMI) 解决方案可以得到进一步完善 携手赛灵思生态系统合作伙伴 Xylon, 能够开发出比典型 ASSP 实现方案具有更高 HMI 集成度的可扩展的差异化平台 本文还介绍并检验了基于 Zynq-7000 AP SoC 的 HMI 解决方案所实现的整体性能 这种高度可扩展的解决方案采用赛灵思 Zynq-7000 AP SoC, 将硬件 软件和系统方案进行集成, 使 HMI 设计人员能够更快地开发出业经验证的 HMI 解决方案, 同时把精力放在首要任务上 : 开发市场寿命周期更长的最佳差异化产品 2015 年赛灵思公司版权所有 Xilinx 赛灵思标识 Artix ISE Kintex Spartan Virtex Vivado Zynq 及本文提到的其它指定品牌均为赛灵思在美国及其它国家的商标 AMBA AMBA Designer ARM ARM1176JZ-S CoreSight Cortex 以及 PrimeCell 均为 ARM 在欧盟及其它国家的商标 所有其他商标均为其各自所有者的财产 1

2 简介 赛灵思 Zynq-7000 AP SoC 在单个器件中紧密集成了可编程逻辑 (PL) 与功能丰富的双核 ARM Cortex -A9 处理系统 (PS) 它使系统设计人员能够开发出更智能 更灵活 更高集成度的嵌入式系统 Zynq-7000 AP SoC 可用于科研 医疗 工业和汽车系统, 有效完成技术和业务目标 一般产品生命周期可达十年以上 专用的硬件加速器内核可在 Zynq-7000 AP SoC 的可编程逻辑 (PL) 部分实现 位于处理系统 (PS) 的定制软件运行这个集成的 PL 硬件, 还提供一组专用的标准 I/O 外设 通过将 CPU 任务转交给实现在 PL 中的硬件加速器, 系统设计人员就能实现单器件 SoC 实现方案, 超越现有竞争性解决方案的性能 该功能可大大提高集成度并节省功耗 从商业角度看, 赛灵思 Zynq-7000 AP SoC 提供的高性价比技术平台, 为提高效率和降低成本创造了无限可能 轻松实现了产品的差异化和灵活性, 可支持基于平台的系统设计, 随时针对未来的变化和升级进行调整 这样能加速产品上市进程, 降低被淘汰的风险, 显著降低产品生命周期内的总体开发成本 很多嵌入式系统需要集成的可视化 HMI 来显示 PL 控制下关于进程的实时信息, 实现人类操作员与机器之间的高度互动 全新开发功能强大 可扩展的基于 PL 的图形控制器 / 加速器是一项令人生畏且非常耗时的任务, 因此 Zynq-7000 AP SoC 在实现低成本最佳 HMI 解决方案上非常有优势 开发更具差异化的产品, 同时还要缩短开发时间, 这种压力促使设计人员更愿意使用现成的第三方 IP 核和软件 Zynq-7000 AP SoC 生态系统提供业经验证的软件 硬件和系统解决方案, 可以大大加速产品开发进程 本白皮书阐述了如何使用赛灵思联盟计划高级成员 Xylon 公司提供的图形 logicbricks IP 核快速设计出能与实现 Zynq-7000 AP SoC 中的用户应用无缝集成的可扩展定制 HMI 预先验证 质量优化的图形解决方案可根据系统需求进行扩展并提供行业标准软件 API,HMI 设计人员利用该解决方案并借助适应性超强的 Zynq-7000 AP SoC 平台, 可以显著缩短设计周期, 并全神贯注实现主要的差异化, 从而满足他们的种种需求 2

3 市场趋势 越来越多的工业 科研和医疗应用使用图形 I/O HMI 这里给出几个可受益于该技术的产品和过程 : 产品 : 高产计算机数控机床的操作面板 医疗诊断应用的超高分辨率相机和监控器 便携式诊断设备 科学测量手持终端 工业检测手持终端 过程 : 更高的机械加工速度 实现更高效率和更低损耗的最佳农场牲畜管理方法 需要创新型高计算强度控制算法的感应技术 无接触式视频工厂质量控制 ( 智能视觉 (Smarter Vision) 应用 ) 传感器融合应用 ( 将来自多个传感器的数据在单条 I/O 线路上结合 ) 总之, 集成式 HMI 技术能使系统包含更多智能化 更高精度控制, 以及更大灵活性 HMI 是人类操作员与受控嵌入式设备之间的主要界面 它必须确保可靠的实时系统控制, 因此需要处理好与 (a) 各种分布式智能控制器和传感器 ; 以及 (b) 可视化界面 ( 用于显示实时控制下的各种过程的实时信息 ) 的网络和接口连接 HMI 还必须让操作员能以简单直观的方式可靠地与嵌入式系统进行交互, 并使用输入设备 ( 例如触摸屏 按钮和键盘 ) 进行控制 HMI 的图形引擎要求变得越来越苛刻 过去, 嵌入式系统的 HMI 通常仅支持简单的 2D 图形或者根本没有图形 灰阶或蓝阶 颜色 方案占主导, 采用高对比度边界表示警告条件 显然, 很难在这类小型 LCD 显示屏上有效显示大量信息 如今, 随着智能手机和平板电脑的爆炸式增长, 潜在 HMI 操作人员已经习惯于使用交互式高密度 GUI 低分辨率 HMI 显示屏正在被全彩色显示屏所取代, 后者的屏幕分辨率可高于全高清 (1080p) 水平, 甚至医疗诊断或手术室以及工厂内的显示器达到超高清 (4K2K) 或超高保真显示器 (8K4K) 也不足为奇 此外, 相当多的工业和医疗应用现在都需要支持多显示屏, 以避免在单个屏幕上显示过多不同系统的信息, 消除因此产生的操作人员低效性 3

4 传统工业和医疗系统需要运行千差万别的专用操作系统, 或者根本没有操作系统 ( 基于 裸机 硬件的控制 ) 新型 HMI 嵌入式系统则能运行最流行的操作系统, 例如 Microsoft Windows Embedded Compact Linux Android 或 QNX 这种演变反过来掀起一个行业趋势 : 多个处理器采用非对称多处理 (AMP) 配置, 将裸机或 RTOS 操作控制 ( 缩短控制回路并保持控制确定性 ) 与专门支持高级网络协议和高端 HMI 图形的分离式完整操作系统结合起来 此外,HMI 技术越来越多地提供特殊功能, 用以支持实时视频流 语音和手势识别 海量存储器接口 多点触屏交互等要求 设计挑战与备选设计方案 工业和医疗 HMI 要求多样化而且经常是互斥的, 这使设计团队面临着前所未有的巨大挑战 要求更高的智能功能需要的处理能力往往是传统 ASSP 器件所不能达到的 因此, 如今的嵌入式产品必须具有更灵活的界面, 具备视觉上更直观 更吸引人的 HMI 功能 除此之外, 还需要缩小物理尺寸, 缩减材料清单成本 (BOM), 降低功耗和成本 针对嵌入式 HMI 应用的 ASSP 的制造商试图找到处理系统与外设之间的理想平衡点, 针对特定工业或医疗应用开发采用不同处理器 I/O 总线接口和硬件加速器组合的 SoC 无法预测并让 ASSP 满足未来的所有应用要求 在单个器件中集成所有必要功能太过昂贵而且用于整个 HMI 产品系列也不切实际, 为传统低销量市场开发新型 ASSP 也不经济而且不可行 因此, 高度集成的新型 HMI 产品大多是基于多芯片的平台 HMI ASSP 提供处理能力 图形引擎 最常用的 I/O 外设, 以及多种专用的硬件加速器 ( 如 DSP 模块 ) 然后, 再用一个或多个辅助器件填补 ASSP 上的功能 漏洞 定制芯片组用来支持缺失的功能和预期的系统扩展, 能带来一定的灵活性, 然而, 一个未预料到的新要求就有可能超出这种灵活性, 导致成本高昂而且耗时的整个系统再设计 再制造和再验证 由于 ASSP 的特性是预先设定的, 因此只允许在软件层面进行产品差异化 为了化解 ASSP 的内在局限性, 越来越多的工业 科研和医疗 HMI 系统现在都包含了辅助 FPGA, 用以应对始料未及的需求, 实现更高智能化和更大功能灵活性 详见图 1 4

5 转到显示器 用户专用 处理系统 视频显示控制器 用于数据采集与控制的通用 I/O 工业网络 专用硬件加速器 固定 I/O 组 定制硬件加速器 传统及专用工业现场总线 赛灵思 FPGA 图 1 : 带赛灵思辅助 FPGA 的嵌入式 HMI 架构 可编程 FPGA I/O 允许方便地连接到大量的 多种类型的传感器 这包括简单的数字输入和输出, 以及更为复杂的工业线性和角度位置传感器 无线设备 多个视频摄像机和显示器, 以及工业现场总线 ( 例如 EtherCAT PROFINET EPL SERCOS 和 EtherNet/IP 等 ) 除了实现简单的传感器数据采集和互连, 辅助 FPGA 还能大大提高嵌入式 HMI 系统的计算能力, 在精心优化的 FPGA 逻辑硬件中执行高计算强度的算法 此外, 辅助 FPGA 可预先处理来自大量传感器的所有 I/O 数据, 然后再以处理速度更快的格式送到 ASSP 添加这些简单的支持功能可使整个系统的运行速度产生很大改观 赛灵思 Zynq-7000 All Programmable SoC 系列完美集成了可编程逻辑和功能丰富的双核 ARM Cortex-A9 处理系统, 能提供 ASSP+FPGA 组合具有的全部优势 ( 如图 1 所示 ), 并可将全部所需的 HMI 特性集成到单个器件中 ( 如图 2 所示 ) 处理系统 视频显示控制器 显示器 工业网络 用户专用硬件加速器 可编程 I/O 图 2 : 基于赛灵思 Zynq-7000 AP SoC 的嵌入式 HMI 架构 5

6 除了显著缩减系统材料清单成本和物理尺寸之外, 在芯片上紧密集成处理器和可编程逻辑实际上还能产生更高的协同效应, 并在多个方面超过 ASSP+FPGA 组合 PS 与 PL 之间的嵌入式接口能提供比任何外部芯片间通信 ( 总线 ) 高得多的带宽 这样可以提高计算性能, 降低数据处理时延, 缩短控制环路, 从而提升系统性能 Zynq-7000 AP SoC 的架构支持在硬件与软件之间进行准确的系统任务分区 移除芯片间总线能显著降低功耗, 因为辐射热量减少, 而且移除了高功耗的 I/O 连接 这样能减少甚至移除 EMI, 使系统设计更简单, 最终提高系统稳定性 除了大量用户可编程数字 I/O,Zynq-7000 AP SoC 还包含模拟混合信号 (AMS) 通用模拟接口, 用以直接监测采样率 1MSPS 的模拟输入 系统级管理功能, 例如功耗监测 热管理以及集成电阻式触摸屏的控制, 是 HMI 的附加集成功能 系统设计人员可在 PL 中实现多个特定的硬件加速器, 用以支持关键特性并实现任何软件控制器通常都无法做到的实时控制, 继而实现产品差异化 只修改专用 SoC 部分, 重用大部分 SoC 设计, 例如软件代码 图形 HMI 和网络, 这样系统设计人员就能快速设计面向不同应用的全系列 HMI 产品, 并作为通用平台的一部分 这种前所未有的平台化方案可以缩短产品上市进程, 降低非重复性工程设计 (NRE) 成本 根据应用复杂性以及处理系统和硬件加速器上运行的系统任务数量, 可方便地对所选 Zynq-7000 AP SoC 的尺寸和成本进行调整, 这要得益于整个 Zynq-7000 产品系列的管脚兼容性 很多嵌入式 HMI 应用的使用寿命要求达到十年以上 面对新一代技术和特性要求的不断攀升, 这必然导致芯片组件厂商难以实现如此长的寿命周期 系统架构师被迫在产品生命周期中就要完全重新设计, 这种方式耗资巨大且非常耗时 不过, 有了像 Zynq-7000 AP SoC 这样的赛灵思产品, 客户就能选择一种本身生命周期较长的解决方案, 因为该产品能针对新一代特性和技术方便地实现移植 在 Zynq-7000 SoC 中集成图形引擎 赛灵思 Zynq-7000 AP SoC 能够在 HMI 系统中采用, 主要原因在于具有专用硬件加速器, 可利用业界首个 All Programmable SoC 的功能, 让 HMI 解决方案从市场上的其他方案中脱颖而出 工业 HMI 设计如图 3 所示的实例, 展示了系统设计人员可非常方便地将强大的 Xylon logicbricks 图形引擎与定制硬件加速器进行集成 6

7 处理系统 (PS) ( 传感器处理 ) (HMI 功能 ) 网络 接口连接和插值法 ( 快速循环 ) 图形引擎 可编程逻辑 (PL) LCD 显示输出 位置传感器 - 增量编码器 - 绝对编码器 - 开关等 图 3 : 工业应用实例 : 高性能数字读出 HMI 数字读出技术需要与多个位置传感器 例如线性编码器 增量编码器或绝对编码器 角度定位器以及数字开关 进行接口连接, 并显示测量值, 使操作人员通过嵌入式 LCD 显示屏上的多个菜单控制设备 如今的高效率 CNC 机器包含可以非常快速 精确运动的致动器, 以确保所需的生产速度和精度 必须以非常快速的控制环路对来自位置传感器的输入进行处理, 这个环路不能通过软件关闭 ; 所需的速度和精度只能通过定制硬件加速器实现 这种精心设计的硬件必须捕捉传感器数据, 通过各种插值算法处理数据, 以确定移动中的致动器的确切位置 赛灵思联盟计划高级成员 Xylon 公司提供一系列 2D 和 3D 图形和视频处理 IP 核 这些 IP 核能快速转化成针对赛灵思 All Programmable 器件优化的完全定制的 2D 和 3D 图形引擎 Xylon 的图形 IP 核在名为 logicbricks 的 IP 库中提供, 提供用户所需的所有 IP 核优势 预先验证的 logicbricks IP 核针对赛灵思 ISE 和 Vivado Design Suite 进行封装 ( 如图 4 所示 ), 无需一般赛灵思工具以外的专业知识 它们的使用方法与赛灵思 LogiCORE 和 SmartCORE IP 核相同 这种兼容性使设计人员能够在最短的时间内以最低的风险用一个或多个图形 logicbricks IP 核实现图形引擎 logicbricks IP 模块经过高度优化和精心设计, 能以最小尺寸提供最高性能, 并通过工具配置菜单额外进行调节 设计人员可以根据 HMI 图形的需求, 只选择所需的图形功能, 然后按需要缩减或扩展图形引擎 就设计规模而言, 既可以是采用最小 Zynq-7000 器件 (Z-7010)( 很小的逻辑架构 ) 的小型 高效显 7

8 示控制器, 也可以是支持复杂 2D 和 3D 图形操作的完整多层 HD 显示控制器 图 4 : 赛灵思 Vivado IP 目录中查看的 Xylon logicbricks IP 核 选择 IP 核时最重要的指标之一是软件支持 Xylon 提供广泛的 logicbricks IP 核软件支持, 可对常见操作系统以及无操作系统的 裸机 设计实现即插即用型兼容性 所提供的软件驱动程序及相关中间件使软件设计人员能够在熟悉的赛灵思设计环境中使用 logicbricks 图形引擎, 无需底层硬件知识 表 1 列出了由 Xylon 提供和支持的软件驱动 API 库和应用框架 具体软件驱动程序的信息, 请见网址 : 表 1 :logicbricks 软件产品 支持的操作系统 软件类型 帧缓冲器 Linux 3.18 Android 2.3 驱动程序 直接渲染管理器 (DRM) Linux 3.18 Android 2.3 驱动程序 直接帧缓冲器 (DirectFB) Linux 库 OpenGL ES 1.1 Linux 3.18 WEC 7/2013 Android API QNX 屏幕 QNX SP1, API Windows 显示驱动程序 WEC 7/2013 驱动程序 Windows GDI WEC 7/2013 API DirectX WEC 7/2013 API Qt 5.x, QtQuick 1.1 Linux 3.18, WEC 7/2013 应用程序框架 8

9 Xylon 的 IP 在很多汽车 医疗和工业产品中实现量产部署, 证明 logicbricks IP 核为这些市场提供了无法超越的高品质 Xylon 质量管理系统从 2006 年公司获得 ISO9001 认证以来就开始进行独立审查 该标准确保 Xylon 持续不断地努力满足并超越客户的品质期望 为了确保与用户的 SoC 设计无缝集成并消除可预见的设计障碍,Xylon 对数百甚至数千种 logicbricks IP 核配置进行全面的硬件验证 除了丰富的 IP 核 ( 包括文档和维护 ) 外, 还能确保与最新实现工具和操作系统的兼容性 Xylon 提供利用 logicbricks 评估 IP 核针对最流行的 Zynq-7000 AP SoC 开发套件而创建的免费参考设计 提供无缝衔接的演示应用程序, 可迅速启动 HMI 开发 logicbricks 图形引擎内部示意图 处理系统 (PS) 双核 ARM 存储器控制器 外设 I/O 混合 网络 定制加速器 LogiCVC-ML 显示 控制器 显示器 1 显示器 2 定制连接 LogiBITBLT 2D 图形 加速器 视频输入 1 视频输入 2 LogiWIN 视频 输入抓取器 Logi3D 3D 图形 加速器 可编程逻辑 (PL) 图 5 : 基于 Zynq-7000 SoC 的 HMI, 采用可扩展的 Xylon logicbricks 图形引擎 图 5 展示了 Zynq-7000 AP SoC 的实现, 采用集成的 logicbricks 图形引擎 显示控制器是必备 IP 核, 能为任何需要显示输出的 HMI 应用实现最小的图形引擎配置 对于仅有显示 控制器的图形引擎, 主处理系统必须在专用视频缓冲器中将多个图形对象组合, 以渲染整个屏幕图像 9

10 出于存储器空间的考虑, 这些视频缓冲器通常在片外存储器中实现 ; 例如 HD 720p (1,280 x 720) 分辨率的显示器需要 3.5MB 的存储器实现单个视频缓冲器 图形平滑度完全取决于处理系统的速度, 它必须计算所有图形动画 视频缓冲器中渲染后的图形必须针对特定的显示器进行格式化, 并通过显示器控制器 IP 核发送到显示器 Xylon 的 logicvc-ml 显示器控制器 IP 核支持多种不同显示器和接口 它支持多达五个图形层, 分辨率高达 8,192 x 8,192 图形层的混合无需处理系统提供带宽, 这样能够非常快速 高效地执行移动光标或显示通过多个叠加菜单叠加的视频流等任务 每个图形层都可进行配置, 以支持像素 层或颜色查找表 (CLUT) 混合 该 IP 核有一个集成式 DMA 引擎, 再加上混合特性和每个图形层的可编程大小 / 位置, 这样能实现多个视频缓冲器的图形对象零复制, 并确保可用存储器带宽的优化使用 渲染复杂 高分辨率的图形需要非常高的处理系统利用率 这会导致应用性能瓶颈 为解决这个问题, HMI SoC 设计人员可添加 Xylon 的 logibitblt 位区块传输 2D 图形加速器 IP 核, 用以执行标准 2D 图形运算, 例如对象复制 / 移动 位图翻转 上 / 下缩放 Porter-Duff 图像合成等 logibitblt IP 核能显著提升基于 Zynq-7000 AP SoC 的 HMI 的图形性能, 因为它可以将 ARM 处理器解放出来执行其他系统任务, 并加速图形渲染, 尤其是当材料包含较大对象, 无法存入处理器缓存或片上存储器时效果更明显 图形加速对整体系统性能的影响可通过两个图形对象之间常见的混合操作来加以展示, 例如将透明菜单元素进行重叠 为了正确混合两个重叠的图形对象, 处理系统和 2D 图形加速器必须 (a) 从初始的视频存储器位置读取图形对象 ;(b) 读取存储在目标视频存储器位置的第二个对象 ;(c) 运行逻辑运算, 将两个对象混合, 最后写到目标视频存储器位置, 在这里显示为混合的图形对象 图 6 给出了所述图形运算的基准图形处理性能, 该运算在运行 Linux OS 和 QtPerf 应用程序 ( 针对 Qt 图形性能测试而设计 ) 的赛灵思 ZC702 套件上执行 所提供的 logicbricks 软件驱动程序使软件编程人员能够以熟悉的方式使用 Qt 跨平台应用程序框架, 采取与使用 SoC 时一样的方法设计 HMI 使用 Zynq-7000 AP SoC 运行相同的基准测试, 采用 Linux 帧缓冲器渲染图形, 但不使用图形加速 ; 然后, 使用支持 XylonQPA 2D Qt5.x 加速插件的 logibitblt 图形加速器再次测试 基准结果显示, 当处理小位图时 Zynq-7000 SoC 的 PS 与专用图形加速器相当, 但图形运算占用了单个 CPU 100% 的处理时间 如图 6 所示, 处理系统达到 50% 的负载 logibitblt IP 核实现专用的 2D 图形加速逻辑, 获得 2 3 倍的性能提升, 当处理较大位图时可显著降低处理系统的负载 10

11 QtPerf 性能对比 p50 速度 (MPix/s) ( 越高越好 ) CPU 负载 (%) ( 越低越好 ) 图 6 : 硬件加速功能对图形速度和 CPU 负载的影响 Zynq ZC702 平台上的 QtPerf 测试, 其中 ZC702 FB = 帧缓冲器 ZC702 XylonQPA = 实现的硬件加速注 :32 x 32 运算的 CPU 时间更高, 因为加速器内核的连续 (back-to-back) 配置更快, 即加速任务更频繁发生 不论所用图形对象 ( 位图 ) 的大小如何,logiBITBLT IP 为每个图形运算进行编程所需的时间都一样 所需的 CPU 负载低至较大位图运算时间的很小一部分 总的来说, 实际 HMI 应用的性能取决于总体 SoC 架构 软件架构以及图形运算的数量和类型 本段视频展示了 logibitblt 图形加速器 IP 核对手持测量设备 ( 基于 Zynq-7000 AP SoC 并运行 Microsoft Windows Embedded Compact OS) 中图形性能的影响 (20 倍速度提升 ) : Acc eleration.aspx ( 或访问 YouTube : 11

12 有了集成式 logicbricks 图形引擎, 赛灵思 Zynq-7000 AP SoC 能提供与竞争性 SoC 相媲美的 2D 图形性能 图 7 给出了使用所介绍的软件配置得到的图形性能基准 分别运行于采用 logicbricks 2D 图形加速引擎的 ZC702 开发板, 和集成了 OpenGL ES 2.0 图形引擎的基于 Freescale i.mx6 的 Mars 开发套件 logibitblt 位区块传输 2D 图形加速器 IP 核针对位图图形运算进行了精心优化, 而且对于非加速运算 ( 例如绘线 ) 还可进行软件回退 基准结果显示两个基准系统提供相似的性能 Xylon 可按要求提供这些基准结果 注 : 测量的执行时间为数秒, 因为测试要对多个图形对象的每个图形运算反复执行数千次 执行时间 (s) ( 越低越好 ) 图 7 :QtPerf 基准测试结果 :ZC702 评估套件和 i.mx6 MARS 评估套件 使用 logicbricks IP 核可以设计更复杂的组合 例如, 可实例化多个显示控制器 IP 核 ( 见图 4), 使 Zynq-7000 AP SoC 能够同时控制两个或多个不同类型的图形显示器, 显示完全不同的图形 这个图形引擎还能由支持 OpenGL ES 1.1 API 的 logi3d 可扩展 3D 图形加速器 IP 核实现进一步扩展 除了图形控制器 logicbricks IP 核以外,Xylon 还提供补充的视频处理 IP 核, 例如用于帧抓取的 logiwin 通用视频输入 IP 核以及用于增强图像质量的 logiisp 图像信号处理 (ISP) 流水线 IP 核 将图形和视频 IP 核组合起来, 能够高效地利用单个 Zynq SoC 实现低时延多通道视频处理系统, 并具有集成式图形 HMI, 可在流视频和多个视频窗口上显示叠加图形菜单 如需了解有关 logicbricks IP 核的更多信息, 敬请参见在线 IP 目录 : 12

13 利用 logicbricks HMI 着手设计 Xylon 预先验证的免费参考设计针对赛灵思 Zynq-7000 AP SoC ZC702 和 ZC706 评估套件以及安富利电子元件公司的 ZedBoard 和 MicroZed 开发套件而开发 这些参考设计和开发套件确保用户快速启动图形 HMI 开发工作 参考设计包括 logicbricks 评估 IP 核和为赛灵思 Vivado Design Suite 准备的硬件设计文件, 以及完整的 Linux OS 镜像 IP 核软件驱动和文档 所提供的专用图形演示包括 : 一个使用流行的 Qt 应用程序框架 ( 面向 GUI 开发 ) 和工业 Qt 小部件库设计的工业 HMI 实例 ( 图 3), 航空电子演示 3D 汽车演示以及多个其他演示 图 8 : 免费的 Xylon 图形 HMI 演示的屏幕截图 工业 HMI 演示视频的网址 : 也可在 Xylon 网站上观看 : ZC 702-Video-Clip.aspx logicbricks HMI 可以用以下可下载的参考设计进行评估 : 13

14 针对 ZC702 套件的 logiref-zgpu-zc702 : Zy nq-7000.aspx 针对 ZC706 套件的 logiref-zgpu-zc706 : Zy nq-7000-zc706.aspx 针对 ZedBoard 套件的 logiref-zgpu-zed : AP-SoC-ZedBoard.aspx 为加速开发周期, 系统设计人员可通过多种方式利用 logicbricks 参考设计的灵活性和扩展性 利用安装包中提供的预编译 SD 卡镜像几分钟内就可在目标硬件平台上进行快速演示 硬件设计人员可自定义 logicbricks 参考设计以适应自己的需求, 让软件设计人员能够在目标硬件成型之前为产品开发 Linux 和独立应用程序 参考设计可与赛灵思合作伙伴 Adeneo Embedded 公司提供的 Windows 嵌入式小型板支持包 (BSP) 结合使用 如需了解有关如何使用非 Linux 操作系统的 logicbricks 的更多信息, 敬请访问 : 如需获得有关 logicbricks 图形 IP 核的更多信息并下载评估 IP 核, 敬请访问 : logicvc-ml 小型多层视频控制器 : logibitblt 位区块传输 2D 图形加速器 : logi3d 可扩展 3D 图形加速器 : 结论 本白皮书介绍了赛灵思 Zynq-7000 AP SoC 为最新工业 科研和医疗 HMI 系统开发带来的优势 对于需要 HMI 的系统, 关键优势在于能够在可编程逻辑中实现差异化的专用硬件加速器, 并利用现成的高质量显示控制图形引擎快速升级为完整的 SoC 设计 这种平台能够使客户实现出色的差异化水平 处理能力 接口连接和整体灵活性, 全面超越 HMI ASSP 产品 Zynq-7000 All Programmable SoC 生态系统将业经验证的软件 硬件和系统解决方案推向市场 本文介绍的 Xylon 图形引擎解决方案能加速产品开发, 使 HMI 设计人员能够专注于实现主要的产品差异化 14

15 修订历史 下表列出了本文档的修订历史 : 日期版本修订描述 2015 年 11 月 20 日 1.0 赛灵思初始版本 免责声明 本文向贵司 / 您所提供的信息 ( 下称 资料 ) 仅在选择和使用赛灵思产品时供参考 在适用法律允许的最大范围内 :(1) 资料均按 现状 提供, 且不保证不存在任何瑕疵, 赛灵思在此声明对资料及其状况不作任何保证或担保, 无论是明示 暗示还是法定的保证, 包括但不限于对适销性 非侵权性或任何特定用途的适用性的保证 ; 且 (2) 赛灵思对任何因资料发生的或与资料有关的 ( 含对资料的使用 ) 任何损失或赔偿 ( 包括任何直接 间接 特殊 附带或连带损失或赔偿, 如数据 利润 商誉的损失或任何因第三方行为造成的任何类型的损失或赔偿 ), 均不承担责任, 不论该等损失或者赔偿是何种类或性质, 也不论是基于合同 侵权 过失或是其他责任认定原理, 即便该损失或赔偿可以合理预见或赛灵思事前被告知有发生该损失或赔偿的可能 赛灵思无义务纠正资料中包含的任何错误, 也无义务对资料或产品说明书发生的更新进行通知 未经赛灵思公司的事先书面许可, 贵司 / 您不得复制 修改 分发或公开展示本资料 部分产品受赛灵思有限保证条款的约束, 请参阅赛灵思销售条款 : com/legal.htm#tos ;IP 核可能受赛灵思向贵司 / 您签发的许可证中所包含的保证与支持条款的约束 赛灵思产品不旨在也不打算用于任何需要专门故障安全保护性能的用途 如果把赛灵思产品应用于此类特殊用途, 贵司 / 您将自行承担风险和责任 请参阅赛灵思销售条款 : legal. htm#tos 汽车应用免责声明 赛灵思产品并非为故障安全保护目的而设计, 也不具备此故障安全保护功能, 不能用于任何需要专门故障安全保护性能的用途, 比如与下列有关的用途 :(1) 安全气囊设置 ;(2) 车辆控制, 除非在该赛灵思产品中具备故障安全保护或者额外功能 ( 但不包括对安装在赛灵思设备中用于执行该等额外功能的软件的使用 ) 且会对操作人员操作失误发出警告信号 ; 或者 (3) 可能会导致死亡或者人身损害的用途 客户应当自行承担因赛灵思产品被用于该等用途而产生的全部风险和责任 15

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

Xilinx® Real Time Video Engine

Xilinx®  Real Time Video Engine 如何使用 Xilinx Zynq All Programmable SoC 设计多通道实时视频处理 酆毅高级市场经理广播及消费电子 Xilinx 广播及专业音视频领域地标图 Xilinx Smarter Vision 出演于整个广播链中的各个环节! 第 2 页 视屏产业趋势 4K 超清 HEVC IP 演播室 第 3 页 Smarter Vision 平台开发 实时分析 智能交通 沉浸式显示 摄像机平台网络视频平台显示平台

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

白皮书 : 浮点 vs 定点 WP491 (v1.0) 2017 年 3 月 30 日 将浮点转为定点大幅降低功耗和成本 作者 :Ambrose Finnerty 和 Hervé Ratigner 赛灵思器件和工具支持从二进制到双精度在内的多种数据类型 UltraScale 架构的可扩展精度提供极大

白皮书 : 浮点 vs 定点 WP491 (v1.0) 2017 年 3 月 30 日 将浮点转为定点大幅降低功耗和成本 作者 :Ambrose Finnerty 和 Hervé Ratigner 赛灵思器件和工具支持从二进制到双精度在内的多种数据类型 UltraScale 架构的可扩展精度提供极大 白皮书 : 浮点 vs 定点 WP491 (v1.0) 2017 年 3 月 30 日 作者 :Ambrose Finnerty 和 Hervé Ratigner 赛灵思器件和工具支持从二进制到双精度在内的多种数据类型 UltraScale 架构的可扩展精度提供极大灵活性, 便于优化功耗和资源利用, 同时满足设计性能目标要求 摘要在数据中心 航空航天与军用 5G 无线以及汽车等领域, 客户必须满足高级驾驶员辅助

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

untitled

untitled 1 2 3 4 5 6 / / 7 8 9 10 11 ES Elevator Shuttle EC Elevator Cross carriage 12 13 14 ES Elevator Shuttle EC Elevator Cross carriage 15 16 17 2. 55X16 600 19 80.000m³ 31 42.160 m³ 36 17 19 Istanbul / Sisli,

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

NX Nastran: 适于产品创新的新一代 CAE 系统 eds.com/products/plm/ds NX Nastran 优化工程最佳实践, 并与 NX 的世界级数字化原型开发和仿真能力结合起来, 以帮助企业降低产品全生命周期的成本, 获得竞争优势 NX PLM Solutions

NX Nastran: 适于产品创新的新一代 CAE 系统 eds.com/products/plm/ds NX Nastran 优化工程最佳实践, 并与 NX 的世界级数字化原型开发和仿真能力结合起来, 以帮助企业降低产品全生命周期的成本, 获得竞争优势 NX PLM Solutions NX Nastran: 适于产品创新的新一代 CAE 系统 eds.com/products/plm/ds NX Nastran 优化工程最佳实践, 并与 NX 的世界级数字化原型开发和仿真能力结合起来, 以帮助企业降低产品全生命周期的成本, 获得竞争优势 NX PLM Solutions bap!"#$%&' bap=mij=pçäìíáçåë!"#!"#!"#$%&!"#!"#$%&!"#bap!"#$%!"#$%&'()*+,!"#$%&'()*+,!"#$%&'()

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful L

Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful L Summer 2016 No.35 IoT Your Gateway to lot Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful Life Joyful

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

图 2: 每个器件系列都为目标应用提供合适的价值 用于 I/O 优化的 Spartan-6 FPGA I/O 优化被视为最简单的功能仍需要有足够功能的平台 虽然桥接逻辑可能需要嵌入式存储器 DSP 和其它硬化模块, 一般 来说最重要的因素是多引脚数量和低成本 Spartan-6 FPGA 系列以三星

图 2: 每个器件系列都为目标应用提供合适的价值 用于 I/O 优化的 Spartan-6 FPGA I/O 优化被视为最简单的功能仍需要有足够功能的平台 虽然桥接逻辑可能需要嵌入式存储器 DSP 和其它硬化模块, 一般 来说最重要的因素是多引脚数量和低成本 Spartan-6 FPGA 系列以三星 赛灵思最丰富的低成本 引言 这一代 All programmable 的 低成本的应用, 在先进程度和需求的多样性上已经达到一个全新的水平 消费 汽车 工业 医疗和通信领域的低成本系统, 需要高串行带宽的可编程逻辑器件或高级的处理功能, 或者仅需要简单的桥接功能等 通常所谓的 低端 市场是指器件具有相对较低的成本和密度 这类平台可提供不同层次的系统集成度 性能和功耗 它们既可以执行视频分析或包处理等关键性任务,

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1 Chapter 01 Photoshop CS6 的基本操作 本章内容 1.1 Photoshop 的应用领域 1.6 控制面板的显示与隐藏 1.2 位图和矢量图的特性 1.7 新建 打开与保存文件 1.3 像素和分辨率的关系 1.8 图像的缩放 1.4 色彩模式 1.9 屏幕显示模式 1.5 Photoshop CS6 界面 1.10 计算机图形图像常用的色彩模式 Photoshop CS6 艺术设计案例教程

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

樣 的 深 不 管 是 怎 樣, 對 方 真 的 要 結 束 同 居 關 係, 真 的 都 會 好 好 講 清 楚 和 溝 通, 傷 害 是 一 定 會 有, 但 不 要 用 到 雙 方 像 敵 人 一 樣, 這 樣 對 雙 方 來 說, 有 什 麼 意 義 存 在 呢?! 因 為 彼 此 之 間

樣 的 深 不 管 是 怎 樣, 對 方 真 的 要 結 束 同 居 關 係, 真 的 都 會 好 好 講 清 楚 和 溝 通, 傷 害 是 一 定 會 有, 但 不 要 用 到 雙 方 像 敵 人 一 樣, 這 樣 對 雙 方 來 說, 有 什 麼 意 義 存 在 呢?! 因 為 彼 此 之 間 同 居 優 缺 點 分 析 優 異 學 生 作 業 觀 摩 班 級 : 企 管 三 美 學 號 :96409187 姓 名 : 顏 欣 儀 優 點 : 1. 彼 此 之 間 更 加 了 解 對 方 2. 可 以 更 了 解 彼 此 的 生 活 型 態 和 真 面 貌 3. 可 以 互 相 省 錢 ( 共 同 分 擔 家 計 ) 還 有 如 果 是 在 熱 戀 中 的 話, 那 一 定 是 每 天 某

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

PowerPoint 簡報

PowerPoint 簡報 影像讯号输出信息 1. 参考表格内外接屏幕输出栏位中连接的输出接口及所支持的屏幕分辨率和屏幕刷新率 2. 影像讯号输出栏位提供各输出接口数量及版本 3. 参考表格内使用的缩写列表 5. 欲使用 3D 蓝光影片播放, 须符合下列需求 一台读取 2X 或更快速的蓝光光驱 一套支持 3D 蓝光影片播放软件 ( 例如 PowerDVD Ultra 版本或任何 3D 播放软件 ) 3. 欲了解特定输出接口与哪张显示芯片连接

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx (( 信 息 娱 乐 )) 基 于 SoC, 打 造 灵 活 的 无 线 电 平 台 所 有 功 能 集 于 一 身 新 功 能 灵 活 性 跟 随 车 辆 终 身 升 级 以 诱 人 的 价 格 获 得 全 部 服 务 这 些 都 是 现 今 汽 车 制 造 商 和 最 终 用 户 对 信 息 娱 乐 系 统 的 需 求 大 陆 汽 车 的 新 型 汽 车 无 线 电 平 台 将 借 助 其 先

More information

!"# $ %&'!"#$

!# $ %&'!#$ !"# $ %&'!"#$ 内容简介本书是在作者已经出版的 XilinxAlProgrammableZynq-7000SoC 设计指南 一书的基础上进行大幅度修订而成的 本书的一大特色就是更加突出 ARMCortex-A9 双核处理器的使用 此外, 在修订本书时采用了 Xilinx 最新的 Vivado2015 4 集成开发环境 通过本书的修订, 能反映最新的 ARM 嵌入式设计技术和实现方法, 同时也能更加凸显采用异构架构的

More information

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 SR-650 SR-652 SR-650H/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 305 mm SR-652 305 mm 305 mm SR-650H/650/651 SR-652 2 可联网 可通过以太网进行联网 也支持 EtherNet/IP 和 PoE 通过网络连接可远程集中管理多个读取器 联网还为您提供了另一大优势 即可实时查看已扫描的图像 同时

More information

xforce keygen microsoft office 2013

xforce keygen microsoft office 2013 Xforce Keygen Microsoft Office 2013 ->->->-> http://shurll.com/78610 1 / 5 2 / 5 Generally, Autodesk,,Vault,,Office,,2016,,555H1,,Autodesk,,Vault,,Professional,,2016,,569H1,,Autode sk,,vault,,workgroup,,2016,,559h1,,autodesk,,vehicle,,tracking,,2016,,955h1,,autodesk,,vred...

More information

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic

西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic 西铁城电子 设备解决方案 Citizen Electronics Device Solutions 以高附加值的电子设备 来支持持续进化的产品开发 We support the development of evolving equipment with value-added electronic devices. 当今的电子设备市场要求产品的开发必须不断地创新 西铁城电子 在LED 开关 传感器及背光元件等领域可以为客户提供高品质

More information

模量 100, 通用型 气相法硅橡胶 ( 续 ) RBB-70 系列 RBB-2100 系列 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 R

模量 100, 通用型 气相法硅橡胶 ( 续 ) RBB-70 系列 RBB-2100 系列 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 R 模量 100, 品牌高温硫化硅橡胶 (HCR) 基胶 - 亚洲 ( 日本除外 ) 选择指南 高温硫化硅橡胶 (HCR) 基胶 通用型 气相法硅橡胶 RBB-00/ RBB-01 系列 RBB-02 系列 RBB-03 系列 RBB-04 系列 RBB-00-35 硅橡胶 RBB-01-65 硅橡胶 RBB-02-30 硅橡胶 RBB-02-45 硅橡胶 RBB-02-50 硅橡胶 RBB-02-70

More information

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M Unidrive M Discover Unidrive M App Store Android E

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M   Unidrive M Discover Unidrive M App Store Android E Unidrive M600 0.75 kw - 2.8 MW (1.0 hp - 4,200 hp) 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App Store Android

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

电子-12页

电子-12页 创建信息市场 实现智能城市价值挖掘 伸手触摸未来 中国车联网 发展现状与前瞻 序言 2 车联网掀开未来汽车新篇章 2013 2000 7% 2014 17.5 2016 2019 56 12,221 73.4% 76.8% 67.2% 48.3% 201 WeChat 39% 4.68 中国驾车者更加推崇个性化的联网服务 1 2 1. 2014 17.5 2014 1 21 2. 2014 06 04

More information

Next Generation Internet

Next Generation Internet workstation, PC, PDA ) 3C 1980 s Current Mainframe Computing Desktop Computing Ubiquitous Computing 14 1 2040 50 CPU 2 50 60 CPU FORTRAN 3 60 70 CPUSSI MSI, SSIMSI 4 2070 CPULSI VLSI, LSIVLSI ( ) 目标

More information

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2 CHI EF 镜头使用说明书 EF24-70mm f/4l IS USM 感谢您购买佳能产品! 使用注意事项 如果将镜头从寒冷的环境拿到温暖的环境中, 镜头表面和内部零件可能会发生结露 高温可能导致镜头故障 特点 安全注意事项 安全注意事项 请勿透过镜头或相机观看太阳或明亮的光源 无论镜头是否装在相机上, 请勿将没有盖上镜头盖的镜头置于太阳下 本说明中使用的符号 CHI-1 安全注意事项 2. 设置对焦模式

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

白皮书 :Zynq UltraScale+ MPSoC WP470 (v1.0),2015 年 11 月 6 日 详解 Zynq UltraScale+ MPSoC 无与伦比的低功耗与高灵活性特性 作者 :Lee Hansen 赛灵思 Zynq UltraScale+ 系列 MPSoC 可为复杂 的

白皮书 :Zynq UltraScale+ MPSoC WP470 (v1.0),2015 年 11 月 6 日 详解 Zynq UltraScale+ MPSoC 无与伦比的低功耗与高灵活性特性 作者 :Lee Hansen 赛灵思 Zynq UltraScale+ 系列 MPSoC 可为复杂 的 白皮书 :Zynq UltraScale+ MPSoC WP470 (v1.0),2015 年 11 月 6 日 详解 Zynq UltraScale+ MPSoC 无与伦比的低功耗与高灵活性特性 作者 :Lee Hansen 赛灵思 Zynq UltraScale+ 系列 MPSoC 可为复杂 的多任务设计提供无与伦比的高度灵活性并显著降 低材料清单 (BOM) 成本, 加速整体项目的进程 摘要高级数据中心应用

More information

TAS - 990

TAS - 990 TAS - 990 TAS -990 TAS -990 TAS -990 WWW PGENERAL COM 优异的可扩展性 使您轻松应对多种分析需求 ASC 900 原子吸收 火焰自动进样器 TAS 990 系列原子吸收分光光度计火 焰法检测专用自动进样附件主要功 能 自动清洗 校零 自动进样 60个样 品杯 8 个标样杯 ASC 900 石墨炉 自动控温 自动进样器 冷却循环水装置 TAS 990系列原子吸收分光光度计石

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

Vivado 设计套件 HLx 版本 全新超高生产力方案, 可用于创建并扩展部署系统平台 Vivado 设计套件 HLx 版本 15 倍的生产力提升源于 : 基于 C/C++ 的设计和加速复用 特定领域专用库 IP 子系统 集成自动化 加速设计收敛 实现快速的平台创建与部署 面向 Zynq SoC

Vivado 设计套件 HLx 版本 全新超高生产力方案, 可用于创建并扩展部署系统平台 Vivado 设计套件 HLx 版本 15 倍的生产力提升源于 : 基于 C/C++ 的设计和加速复用 特定领域专用库 IP 子系统 集成自动化 加速设计收敛 实现快速的平台创建与部署 面向 Zynq SoC Vivado 设计套件 HLx 版本 为主流系统和平台设计人员 带来超高生产力 Vivado 设计套件 HLx 版本 全新超高生产力方案, 可用于创建并扩展部署系统平台 Vivado 设计套件 HLx 版本 15 倍的生产力提升源于 : 基于 C/C++ 的设计和加速复用 特定领域专用库 IP 子系统 集成自动化 加速设计收敛 实现快速的平台创建与部署 面向 Zynq SoC 和最新 MPSoC,

More information

indows CE 1996 Semiconductor Industry Association (SIA) Windows CE Windows Embedded CE 6.0 Windows CE Windows Embedded CE 6.0 Micr

indows CE 1996 Semiconductor Industry Association (SIA) Windows CE Windows Embedded CE 6.0 Windows CE Windows Embedded CE 6.0 Micr Windows Embedded CE 6.0 Windows Embedded CE 6.0 : Windows Embedded CE 6.0 : Windows CE Windows Embedded CE 6.0 API CE 6.0 Windows CE W indows CE 1996 Semiconductor Industry Association (SIA) 1994 1000

More information

Xilinx 嵌入式双核Cortex-A9 All Programmable 平台设计指南(上)

Xilinx 嵌入式双核Cortex-A9 All Programmable 平台设计指南(上) Xinlinx 大学计划课程 Zynq-7000 SoC 设计导论 主讲 : 何宾 Email: hebin@mail.buct.edu.cn Zynq-7000 SoC 设计导论 主要内容 全可编程片上系统基础知识 Zynq-7000 SoC 功能和结构 Zynq-7000 SoC 在嵌入式系统中的优势 Zynq-7000 SoC 的 Vivado 设计流程 2 全可编程片上系统基础知识 -- 全可编程片上系统的演进

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.77 3.45 不适用 941 HK Equity 82.85 73.40 902 HK Equity

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

南京市人才服务中心

南京市人才服务中心 南 京 市 人 才 服 务 中 心 关 于 协 助 举 办 第 三 届 跨 区 域 秋 季 高 校 毕 业 生 巡 回 招 聘 南 京 站 东 南 大 学 校 园 专 场 招 聘 会 的 函 东 南 大 学 : 2015 年 10 月 25 日 ( 周 日 ) 南 京 市 人 才 服 务 中 心 组 织 中 国 北 方 车 辆 研 究 所 广 西 软 件 管 理 中 心 集 美 大 学 厦 门 工

More information

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大 我国防止公职人员利益冲突制度的变迁及完善 王琳瑜 杜治洲 北京航空航天大学公共管理学院 北京 改革开放三十余年来 中国防止公职人员利益冲突制度的变迁过程可以划分为探索 发展 加速推进三个阶段 呈现出制度建设的科学化水平不断提高 越来越注重制度的执行力 日趋国际化的发展趋势 进一步完善的制度建设应从四个方面入手 对防止公职人员利益冲突进行立法 重构现有制度并使其系统化 建立有效防止公职人员利益冲突的实施机制以提高制度执行力

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

Microsoft Word - VRP物理引擎应用.doc

Microsoft Word - VRP物理引擎应用.doc 物 理 引 擎 介 绍 什 么 是 物 理 引 擎 物 理 引 擎 和 3D 图 形 引 擎 是 两 个 截 然 不 同 的 引 擎, 但 是 它 们 两 者 又 有 着 密 不 可 分 的 联 系, 一 起 创 造 了 虚 拟 现 实 的 世 界 在 虚 拟 现 实 世 界 中, 人 们 的 需 求 已 经 从 观 看 离 线 渲 染 的 3D 动 画 片 的 方 式 过 渡 到 了 使 用 实

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

PowerPoint Presentation

PowerPoint Presentation 采用 Xilinx 软 定义规范环境将可编程 能力从控制层扩展至数据平面 梁晓明 赛灵思亚太区通信业务拓展高级经理 王立峰赛灵思有线系统架构师 内容议程 简介 向软件定义网络发展的趋势 推出 软 定义网络 革命性创新型 SDNet 设计方法 实现优势 总结 常见问题解答 网络系统架构发展趋势 第 1 代 : 集成路由器 第 2 代 : 控制层和数据层彼此独立 第 3 代 : 基于 SDN CPU 控制

More information

胡 鑫 陈兴蜀 王海舟 刘 磊 利用基于协议分析和逆向工程的主动测量方法对 点播系统进行了研究 通过对 点播协议进行分析 获悉该协议的通信格式和语义信息 总结出了 点播系统的工作原理 在此基础上设计并实现了基于分布式网络爬虫的 点播系统主动测量平台 并对该平台获取的用户数据进行统计分析 获得了 点播系统部分用户行为特征 研究结果对 点播系统的监控及优化提供了研究方法 点播 协议分析 爬虫 主动测量

More information

Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M Unidrive M Discover Unidrive M ( AppStore Android ) E

Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M   Unidrive M Discover Unidrive M ( AppStore Android ) E Unidrive M200 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M ( AppStore Android

More information

Microsoft Word - 1050325輸變電系統勞資協商溝通會議紀錄.doc

Microsoft Word - 1050325輸變電系統勞資協商溝通會議紀錄.doc 時 輸 變 電 系 統 勞 資 協 商 溝 通 會 議 紀 錄 間 : 中 華 民 國 105 年 3 月 25 日 ( 星 期 五 ) 上 午 10 時 地 點 : 台 灣 電 力 股 份 有 限 公 司 輸 變 電 工 程 處 ( 北 區 施 工 處 ) 副 樓 2 樓 禮 堂 出 席 人 員 : 詳 如 簽 到 名 冊 列 席 人 員 : 詳 如 簽 到 名 冊 主 席 : 顏 處 長 德 忠

More information

Microsoft Word - 功医检测问&答

Microsoft Word - 功医检测问&答 功 能 医 学 检 测 问 答 1 功 能 性 医 学 的 要 义 1 个 体 差 异 因 每 个 人 的 基 因 生 活 习 惯 先 天 体 质 饮 食 习 惯 生 活 环 境 等 等 都 与 别 人 不 同, 那 么 身 体 的 衰 老 的 速 度 程 度 及 所 发 生 的 疾 病 也 是 与 别 人 是 不 同 的, 功 医 是 依 个 人 体 质 状 况 量 身 制 定, 分 析 个 人

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

武汉理工大学硕士学位论文基于嵌入式技术的数控加工远程视频监测研究姓名 : 周恒林申请学位级别 : 硕士专业 : 通信与信息系统指导教师 : 周祖德 20070401 基于嵌入式技术的数控加工远程视频监测研究 作者 : 周恒林 学位授予单位 : 武汉理工大学

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAB9ABB2BC32303135C4EAB0B2BBD5CAA1B4F3D6D0D7A8D1A7C9FAD6BED4B8D5DFCAEEC6DACEC4BBAFBFC6BCBCCEC0C9FAA1B0C8FDCFC2CFE7A1B1C9E7BBE1CAB5BCF9BBEEB6AFC6C0D1A1BDE1B9FBB5C4CDA8D6AAA3A8B6A8B8E5A3A9C5C52E646F637

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAB9ABB2BC32303135C4EAB0B2BBD5CAA1B4F3D6D0D7A8D1A7C9FAD6BED4B8D5DFCAEEC6DACEC4BBAFBFC6BCBCCEC0C9FAA1B0C8FDCFC2CFE7A1B1C9E7BBE1CAB5BCF9BBEEB6AFC6C0D1A1BDE1B9FBB5C4CDA8D6AAA3A8B6A8B8E5A3A9C5C52E646F637 皖 青 联 2015 79 号 关 于 公 布 2015 年 安 徽 省 大 中 专 学 生 志 愿 者 暑 期 文 化 科 技 卫 生 三 下 乡 社 会 实 践 活 动 评 选 结 果 的 通 知 各 省 辖 市 党 委 宣 传 部 文 明 办 教 育 局 团 市 委 学 联, 团 省 直 工 委, 各 高 校 团 委 : 2015 年, 省 委 宣 传 部 省 文 明 办 省 教 育 厅 团

More information

Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Vide

Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Vide Avigilon Control Center 6 ACC High Definition Stream Management (HDSM) ACC 6 ( Avigilon Appearance Search ) Avigilon Appearance Search ACC NVR HD Video Appliance HD Network Video Recorder (NVR) HD NVR

More information

Microsoft Word - 永政发〔2016〕48号.doc

Microsoft Word - 永政发〔2016〕48号.doc 永 政 发 2016 48 号 各 功 能 区 管 委 会, 各 镇 ( 街 道 ) 人 民 政 府 ( 办 事 处 ), 县 政 府 直 属 各 单 位 : 县 教 育 局 制 定 的 2016 年 永 嘉 县 初 中 毕 业 升 学 考 试 与 高 中 招 生 实 施 方 案 已 经 县 人 民 政 府 同 意, 现 批 转 给 你 们, 请 认 真 贯 彻 实 施 永 嘉 县 人 民 政 府

More information

丽水学院医学与健康学院本科教学审核评估

丽水学院医学与健康学院本科教学审核评估 网 络 工 程 专 业 建 设 工 作 审 核 评 估 自 评 报 告 工 程 与 设 计 学 院 2015.11.16 目 录 1. 专 业 概 况... 1 2. 专 业 定 位 与 目 标... 1 2.1 专 业 ( 方 向 ) 办 学 定 位 及 确 定 依 据... 1 2.2 专 业 培 养 目 标 标 准 及 确 定 依 据... 1 2.3 人 才 培 养 方 案 的 修 订 情

More information

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一 考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 五 專 企 二 乙 胡 哲 維 中 文 輸 入 五 專 企 二 乙 周 林 昜 中 文 輸 入 五 專 企 二 乙 賴 昱 樺 中 文 輸 入 五 專 企 二 乙

More information

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana Acer E 15 Graphics Driver Download >>> DOWNLOAD 1 / 5 2 / 5 Get...all...the...data...for...your...required...driver...including...models,...availability...and...OS..... Drivers...for...direct...download...are...uploaded...daily...and...rated...by...our...usersWorld'

More information

é ê

é ê 廖光洪 朱小华 杨成浩 徐晓华 基于南海 年夏季调查航次诊断计算的流函数场 选取越南以东偶极子发生海域 进行 不同的声层析观测站位设置实验 模拟计算声线传播时间信息 然后应用基函数重建方法进行了 流函数场的模拟反演研究 讨论了不同随机观测误差对反演结果的影响 研究结果表明该方法是 可行的 在所选取的约 海域内 在观测海域外围配置 个声层析观测站位就能够很好地重构原流函数场 空间分辨率约为 可以分辨模拟海域中尺度涡场结构

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

用友零售案例集V4

用友零售案例集V4 烘 焙 食 品 烘 焙 行 业 主 要 生 产 及 经 营 以 小 麦 等 谷 物 粉 料 为 基 本 原 料, 通 过 发 面 高 温 焙 烤 过 程 而 熟 化 的 一 大 类 食 品, 如 面 包 蛋 糕 饼 干 等 随 着 连 锁 经 营 模 式 的 出 现, 越 来 越 多 的 烘 焙 企 业 通 过 引 迚 先 迚 的 经 营 理 念 领 先 的 烘 焙 产 品 设 计 以 及 全 新

More information

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 专 业 代 码 :590215 一 专 业 名 称 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 二 教 育 类 型 及 学 历 层 次 高 等 职 业 教 育, 大 专 层 次 三 入 学 条 件 高 中 毕 业 或 同 等 学 历 者 四 学 制 基 本 学 制 三 年, 最 长 五

More information

決算説明資料

決算説明資料 1 2 ~ 3 ~ 4 ~ 5 ~ 1. 16. 2. 17. 3. 18. 4. 19. 5. 20. 6. 21. 7. 22. 8. 23. 9. 10. 11. 12. 13. 14. 15. 130,000 136,404 104.9% 142,500 4.5% 125,000 130,857 104.7% 137,000 4.7% 5,600 5,697 101.7% 7,800 36.9%

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 胡春旭 GUI ROS MoveIt! 接口 通信协议 机器人控制器 ROS 交互 规划 ROS 机械臂 实时系统 接口 通信 控制 硬件 模型 运动规划 控制配置日志状态 关节位置插补 状态配置参数 IO EtherCAT 伺服控制器 机器人本体 碰撞检测语音 / 语义识别图像处理 Simple Message (TCP/IP)... 运动学状态监控参数管理坐标变换...

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

简介 从屏幕到屏幕的媒体网络包括捕获或创建内容的采集端和在各种不同设备上观看或使用内容的消费端 在采集端, 支持 4K( 乃至更高 ) 分辨率的高级传感器的不断推广和普及, 结合消费级 4K (3840x2160) 显示器在消费端的日益普及, 这一势态不仅带来了分辨率更高的内容, 还为诸如 360

简介 从屏幕到屏幕的媒体网络包括捕获或创建内容的采集端和在各种不同设备上观看或使用内容的消费端 在采集端, 支持 4K( 乃至更高 ) 分辨率的高级传感器的不断推广和普及, 结合消费级 4K (3840x2160) 显示器在消费端的日益普及, 这一势态不仅带来了分辨率更高的内容, 还为诸如 360 白皮书 :Zynq UltraScale+ MPSoC WP497 (v1.0) 2017 年 10 月 23 日 赛灵思面向视频编解码器和图形引擎的前沿多媒体解决方案 作者 :Yashu Gosain 和 Alok Gupta 赛灵思高效节能的可编程 SoC (System-on-Chip) 多媒体解决方 案带有集成型 GPU 和编解码器, 专为超高清 (UHD) 视频而设 计, 可提供出色的设计灵活性,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Webinar: Zynq UltraScale+ in KVM Rob Green, Senior Manager, Pro AV & Broadcast 28th March 2017

Webinar: Zynq UltraScale+ in KVM  Rob Green, Senior Manager, Pro AV & Broadcast 28th March 2017 任意媒体, 任意网络 : 利用 Zynq UltraScale+ MPSoC 实现多流转码 Bob Feng, 赛灵思公司视频系统主任架构师 转码简介 行业趋势与要求 赛灵思解决方案 发展方向 页面 2 转码 : 这是什么? 转码是指将媒体资产从一种表示形式转换为另一种 简单示例 将输入 HEVC/H265 编码流转换为多个 AVC/H264 媒体流 将包含 H264 压缩内容的文件库转换为 H265

More information

1 Flash 绘画概述 美术与绘画 电脑绘画 1-1 Flash Painter (a) (Flash) (b) (Painter) 1-1

1 Flash 绘画概述 美术与绘画 电脑绘画 1-1 Flash Painter (a) (Flash) (b) (Painter) 1-1 第 一 部分 绘画基础 俗话说 万丈高楼平地起 学习Flash绘画也一样 必须从美术基础学起掌握透 视 构图和色彩等基础知识 以及物体立体感的表现方法 是掌握Flash绘画的必备知识 也是进行动画设计的基础 1 Flash 1 1.1 绘画概述 1.1.1 美术与绘画 3 1.1.2 电脑绘画 1-1 Flash Painter (a) (Flash) (b) (Painter) 1-1 Flash

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

利用即插即用的IP实现更高的FPGA设计生产力

利用即插即用的IP实现更高的FPGA设计生产力 利用即插即用的 IP 实现更高的 FPGA 设计生产力 第 1 页 会议日程 介绍 : 什么是 AXI? 优势 如何通过 IP 生态系统提升 IP 的可用性? 如何实现更高生产力? 接口如何提供增强系统性能的灵活性? 下一步的发展是什么? 第 2 页 赛灵思全面转向 AXI 工具 IP 应用 培训 嵌入连接功能 DSP ISE / PlanAhead System Generator CORE Generator

More information