目录第一部分芯片介绍 芯片特性 功能简介 内部框图 引脚定义 应用示意图...8 第二部分系统功能 电源监控电路 系统复位 模数转换 有功功率测量...1

Size: px
Start display at page:

Download "目录第一部分芯片介绍 芯片特性 功能简介 内部框图 引脚定义 应用示意图...8 第二部分系统功能 电源监控电路 系统复位 模数转换 有功功率测量...1"

Transcription

1 ATT7026A 用户手册 Page1 of 39

2 目录第一部分芯片介绍 芯片特性 功能简介 内部框图 引脚定义 应用示意图...8 第二部分系统功能 电源监控电路 系统复位 模数转换 有功功率测量 有功能量测量 无功功率测量 无功能量测量 视在功率测量 电压有效值测量 电流有效值测量 电压线频率测量 功率因数测量 电压电流相角测量 电压相序检测 电流相序检测 起动潜动设置 功率方向判断 失压检测 硬件端口检测 三相三线与三相四线应用 能量脉冲输出 参数输出寄存器定义 参数输出寄存器说明...20 第三部分校表方法 硬件校表 软件校表 校表寄存器定义 校表寄存器说明 低频脉冲输出设置寄存器 LFConst (Addr: 0x21) 软件校表步骤及参数计算...30 第四部分 SPI 通讯接口 SPI 通讯接口介绍 SPI 读操作 SPI 写操作 SPI 写特殊命令操作...36 Page2 of 39

3 第五部分电气特性 电气参数 芯片封装...39 Page3 of 39

4 第一部分芯片介绍 1.1 芯片特性 高精度在输入动态工作范围 (1000:1) 内, 非线性测量误差小于 0.1% 有功测量满足 1 级 0.5S 支持 IEC 678/1036,GB/T 无功测量满足 2 级 3 级支持 IEC 1268,GB/T 适用于三相三线和三相四线模式 提供有功 无功 视在功率参数 提供功率因数 相角 线频率参数 提供电压和电流有效值参数, 有效值精度优于 0.5% 提供电压和电流相序检测功能 提供失压判断功能 提供反向功率指示 三相电流矢量和之有效值输出 三相电压矢量和之有效值输出 提供有功 无功校表脉冲输出 提供驱动计度器的有功 / 无功脉冲输出 合相能量绝对值相加与代数相加可选 电表常数可调 起动电流可调 可准确测量到含 21 次谐波的有功 无功和视在功率 支持增益和相位补偿, 小电流非线性补偿 具有 SPI 接口, 方便与外部 MCU 通讯 支持电阻网络或者软件调试电表 ATT7026A 采用 QFP44 封装 单 +5V 供电 Page4 of 39

5 1.2 功能简介 ATT7026A 用户手册 (210-SD-111) ATT7026A 是一颗高精度三相电能专用计量芯片, 适用于三相三线和三相四线应用 ATT7026A 集成了六路二阶 sigma-delta ADC 参考电压电路以及所有功率 能量 有效值 功率因数以及频率测量的数字信号处理等电路 ATT7026A 能够测量各相以及合相的有功功率 无功功率 视在功率 有功能量以及无功能量, 同时还能测量各相电流 电压有效值 功率因数 相角 频率等参数, 充分满足三相复费率多功能电能表的需求 详细数据定义请参考 2.23 参数输出部分 ATT7026A 支持电阻网络校表以及软件校表两种校表方式 电阻网络校表适用于电压通道采用电阻网络取样的系统应用, 通过简单的调节电阻网络就可以将系统误差校正在 1 级表的要求以内 而软件校表是通过相关的校表寄存器对增益 相位进行补偿, 可以将系统误差校正在 0.5 级表的要求以内 有功 无功电能脉冲输出 CF1 CF2 提供瞬时有功 无功功率信息, 可以直接接到标准表, 进行误差校正 详细校表方法请参考第三部分校表方法 ATT7026A 提供一个 SPI 接口, 方便与外部 MCU 之间进行计量参数以及校表参数的传递 SPI 具体规格参见后面第四部分的详细说明 所有计量参数都可以通过 SPI 接口读出 ATT7026A 内部的电压监测电路可以保证加电和断电时正常工作 1.3 内部框图 Page5 of 39

6 1.4 引脚定义 ATT7026A 用户手册 (210-SD-111) 引脚 标识 特性 功能描述 ATT7026A 复位管脚, 低电平有效, 内部有 47K 上拉电阻 1 RESET 输入 2 SIG 输出 ATT7026A 上电复位或者异常原因重新启动时,SIG 将变为低电平 当外部 MCU 通过 SPI 写入较表数据后,SIG 将立即变为高电平 3,4 V1P/V1N 输入 A 相电流信道正, 负模拟输入引脚 完全差动输入方式, 正常工作最大输入 Vpp 为 ± 1.5V, 两个引脚内部都有 ESD 保护电路, 最大承受电压为 ± 6V 5 REFCAP 输出 基准 2.4V, 可以外接 ; 该引脚应使用 10uF 电容并联 0.1uF 电容进行去耦 6,7 V3P/V3N 输入 B 相电流信道正, 负模拟输入引脚 完全差动输入方式正常工作最大输入 Vpp 为 ± 1.5V 两个引脚内部都有 ESD 保护电路, 最大承受电压为 6V 8 AGND 电源 模拟电路 ( 即 ADC 和基准源 ) 的接地参考点 9,10 V5P/V5N 输入 C 相电流信道正, 负模拟输入引脚 完全差动输入方式, 正常工作最大输入 Vpp 为 ± 1.5V, 两个引脚内部都有 ESD 保护电路, 最大承受电压为 ± 6V 11 REFOUT 输出 基准电压输出, 用作外部信号的直流偏置 12 AVCC 电源 该引脚提供 ATT7026A 模拟电路的电源, 正常工作电源电压应保持在 5V ± 5%, 为使电源的纹波和噪声减小至最低程度该引脚应使用 10uF 电容并联 0.1uF 电容进行去耦 13,14 V2P/V2N 输入 A 相电压信道的正 负模拟输入引脚 完全差动输入方式, 正常工作最大输入 Vpp 为 ± 1.5V, 两个引脚内部都有 ESD 保护电路, 最大承受电压为 ± 6V 15 AGND 电源 模拟电路 ( 即 ADC 和基准源 ) 的接地参考点 Page6 of 39

7 16,17 V4P/V4N 输入 B 相电压信道的正 负模拟输入引脚 完全差动输入方式, 正常工作最大输入 Vpp 为 ± 1.5, 两个引脚内部都有 ESD 保护电路, 最大承受电压为 ± 6V 18 AVCC 电源 该引脚提供 ATT7026A 模拟电路的电源, 正常工作电源电压应保持在 5V ± 5%, 为使电源的纹波和噪声减小至最低程度, 该引脚应使用 10uF 电容并联 0.1uF 电容进行去耦 19,20 V6P/V6N 输入 C 相电压信道的正 负模拟输入引脚 完全差动输入方式, 正常工作最大输入 Vpp 为 ± 1.5V, 两个引脚内部都有 ESD 保护电路, 最大承受电压为 ± 6V 21,22 S0/S1 输入 这两个逻辑输入用来选择输出频率的系数 内部 300K 上拉电阻 23 GND 电源 数字地引脚 24 TEST 输入 测试管脚, 正常应用接地内有 47K 下拉电阻 25 SCF 输入 逻辑输入用来选择输出频率的系数, 与 S0/S1 配合使用, 内部 300K 上拉电阻 26 SEL 输入 三相三线低电平, 三相四线高电平选择 内部 300K 上拉电阻 27 CF1 输出 有功电能脉冲输出, 其频率反映合相平均有功功率的大小, 常用于仪表有功功率的校验, 也可以用作有功电能计量 28 CF2 输出 无功电能脉冲输出, 其频率反映合相平均无功功率的大小, 常用于仪表无功功率的校验, 也可以用作无功电能计量 29,30 F1/F2 输出 低频有功电能脉冲输出, 其输出反映三相平均有功功率的大小 直接驱动机电式计度器 31,32 F3/F4 输出 低频无功电能脉冲输出, 其输出反映三相平均无功功率的大小 可直接驱动机电式计度器 33 VDD 电源 内核电源输出 3.0V 外接 10μF 电容并联 0.1uF 电容进行去耦 34 VCC 电源 数字电源引脚 ; 正常工作电源电压应保持在 5V ± 5%, 该引脚应使用 10F 电容并联 0.1uF 电容进行去耦 35 CS 输入 SPI 片选信号, 低电平有效, 内部上拉 200K 电阻 36 SCLK 输入 SPI 串行时钟输入 ( 施密特 ) 注意 : 上升沿放数据下降沿取数据 37 DIN 输入 SPI 串行数据输入 ( 施密特 ), 内部下拉 200K 电阻 38 DOUT 输出 SPI 串行数据输出,CS 为高时高阻输出 39 VDD 电源 内核电源输出 3.0V 外接 10uF 电容并联 0.1uF 电容进行去耦 40 REVP 输出 当检测到任意一相的有功功率为负时, 输出高电平当检测到各相有功功率都为正时, 该引脚的输出又将复位到低电平 41 VCC 电源 数字电源引脚 ; 正常工作电源电压应保持在 5V ± 5%, 该引脚应使用 10uF 电容并联 0.1uF 电容进行去耦 42 OSCI 输入 系统晶振的输入端, 或是外灌系统时钟输入 晶振频率为 MHz 43 OSCO 输出 晶振的输出端 44 GND 电源 数字地引脚 Page7 of 39

8 1.5 应用示意图 ATT7026A 用户手册 (210-SD-111) Page8 of 39

9 第二部分系统功能 ATT7026A 用户手册 (210-SD-111) 2.1 电源监控电路 ATT7026A 片内包含一个电源监控电路 连续对模拟电源 (AVcc) 进行监控 电源电压低于 4V ± 5% 时, 芯片将被复位 这有利于电路上电和掉电时芯片的正确启动和正常工作 电源监控电路被安排在延时和滤波环节中, 这在最大程度上防止了由电源噪声引发的错误 如图 2-1 所示 为保证芯片正常工作应对电源去耦, 使 AVcc 的波动不超过 5V±5% 2.2 系统复位 ATT7026A 提供两种复位方式 : 硬件复位和软件复位 硬件复位通过外部引脚 RESET 完成,RESET 引脚内部有 47K 电阻上拉, 所以正常工作时为高电平, 当 RESET 出现大于 20us 的低电平时,ATT7026A 进入复位状态, 当 RESET 变为高电平时 ATT7026A 将从复位状态进入正常工作状态 软件复位通过 SPI 口完成, 当往 SPI 口写入 0xD3 命令后, 系统进行一次复位, 复位之后 ATT7026A 从初始状态开始运行 ATT7026A 在复位状态下 SIG 为高电平, 当 ATT7026A 从复位到工作状态之后, 大约经过 500us 左右,SIG 将从高电平变为低电平, 此时芯片开始进入正常工作状态, 方可写入校表数据, 一旦写入校表数据之后, SIG 又会立刻变为高电平 Page9 of 39

10 2.3 模数转换 ATT7026A 用户手册 (210-SD-111) ATT7026A 片内集成了 6 路 16 位的 ADC, 采用双端差分信号输入 输入最大的正弦信号有效值是 1v 建议将电压通道 Un 对应到 ADC 的输入选在 0.5v 左右, 而电流通道 Ib 时的 ADC 输入选在 0.1v 左右 参考电压 Refcap 与 Refout 典型值是 2.4v ATT7026A 内部 ADC 系统框图 : ADC 前端典型接线图 Page10 of 39

11 2.4 有功功率测量 ATT7026A 用户手册 (210-SD-111) 各相的有功功率是通过对去直流分量后的电流 电压信号进行乘法 加法 数字滤波等一系列数字 N 1 信号处理后得到的 电流电压采样数据中包含高达 21 次的谐波信息, 所以依据公式 P= U( n) I( n) N n = 0 计算得到的有功功率也至少包含 21 次谐波信息 有功功率的测量原理图如下图所示合相有功功率 Pt=Pa+Pb+Pc 2.5 有功能量测量 有功能量通过瞬时有功功率对时间的积分得到单相有功能量的计算公式为 Ep = p() t dt 合相有功能量可以根据设置按照代数或者绝对值的模式进行累加代数加模式 Ept=Epa+Epb+Epc 而绝对值加模式 Ept= Epa + Epb + Epc 如图所 2.6 无功功率测量 根据真无功功率 ( 正弦式无功功率 ) 定义公式, 无功功率 Q= U I sin( ϕ), 无功功率计量算法与 有功类似, 只是电压信号采用移相 90 度之后 测量带宽主要受到数字移相滤波器的带宽限制,ATT7026A 无功功率的测量带宽也可高达 21 次谐波 n= 1 n n ATT7026A 采用的数字 90 移相滤波器具有优越的频率响应特性, 如图所示 它是幅频特性为 1, 频带 Page11 of 39

12 范围内的所有频率成分进行 -90 相移的线性滤波器 所以即使对于相当高次谐波无功功率的测量, 也能获得很高的测量准确度 特别提示, 对无功功率进行检定时, 必须确保用于检测的标准表的无功功率算法与 ATT7026A 的无功功率算法相同, 否则会由于无功功率的算法原理不同而产生一定的误差, 特别是在检定谐波下的无功功率时误差更大 2.7 无功能量测量 无功能量通过瞬时无功功率对时间的积分得到 单相无功能量的计算公式 Eq = q() t dt 合相无功能量可以根据设置按照代数或者绝对值的模式进行累加 代数加模式 Eqt=Eqa+Eqb+Eqc, 而绝对值加模式 Eqt= Eqa + Eqb + Eqc 如图所示 2.8 视在功率测量 视在功率有两类计算公式 Page12 of 39

13 PQS 视在功率公式一 : S = P + Q 2 2 ATT7026A 用户手册 (210-SD-111) RMS 视在功率公式二 :S=Urms*Irms 由于 ATT7026A 可以直接提供电流和电压的有效值,RMS 视在功率公式二可以在外部 MCU 很方便地实现, 所以 ATT7026A 仅提供采用 PQS 视在功率公式一实现的视在功率值, 如下图所示 对于合相视在功率,ATT7026A 按照公式一, 根据合相有功功率和合相无功功率计算得到, 如下图所示 2.9 电压有效值测量 通过对电压采样值进行平方 开方以及数字滤波等一系列运算得到 电压通道输入 1000mv 到 10mv 的信号时电流有效值的误差小于 0.5% 2.10 电流有效值测量 通过对电流采样值进行平方 开方以及数字滤波等一系列运算得到 电流通道输入 1000mv 到 2mv 的信号时电流有效值的误差小于 0.5% Page13 of 39

14 2.11 电压线频率测量 ATT7026A 可以直接输出电压频率参数,ATT7026A 可以自动选择 A/B/C 三相中的任意一相电压为电压频率测量的基准 可测量的电压线频率范围为 10Hz~500Hz 2.12 功率因数测量 abs( P) 功率因数计算公式 : Pf = sign( Q) abs ( Q ) 功率因数的符号由无功功率的符号来确定 2.13 电压电流相角测量 根据电工原理功率因数 Pf=cos(Pg), 其中 Pg 为电压与电流的相角 在 ATT7026A 中定义电压与电流的相角为 Pg= sign(q)*acos( Pf ) 根据这一方法 ATT7026A 同时可以提供由合相功率因数折算为合相相角参数, 也就是 Pgt= sign(q)*acos( Pft ) ATT7026A 相角 Pg 只能表示为 ± 90, 符号与功率因数一致如果要用 0 ~360 表示在不同的象限相角, 需要做如下转换即可 : 当有功功率为正时, 无功功率为正, 实际相角就是是 Pg; 当有功功率为正时, 无功功率为负, 实际相角是 360 +Pg; 当有功功率为负时, 实际角度是 180 -Pg 2.14 电压相序检测 ATT7026A 可以对电压的相序进行检测, 三相四线与三相三线模式的电压相序检测依据不完全一样 三相四线模式下电压相序检测按照 A/B/C 三相电压的过零点顺序进行判断, 电压相序正确的依据是当 A 相电 Page14 of 39

15 压过零之后,B 相电压过零, 然后才是 C 相电压过零 否则电压错序 另外只要当 A/B/C 三相电压中任何一相没有电压输入时,ATT7026A 也认为是电压错序 三相三线模式下电压相序检测按照 A 相电压与 C 相电压的夹角进行判断, 当 A 相电压与 C 相电压的夹角在 300 度左右时, 才认为电压相序正常, 否则判断电压出现错序 电压相序的标志存放于状态标志寄存器 SFlag 中,SFlag 的 Bit3 为 1 表示 A/B/C 电压出现错序,SFlag 的 Bit3 为 0 表示 A/B/C 电压相序正确 2.15 电流相序检测 ATT7026A 可以对电流的相序进行检测电流的相序检测, 按照 A/B/C 三相电流的过零点顺序进行判断, 电流相序正确的依据是当 A 相电流过零之后,B 相电流过零, 然后才是 C 相电流过零 否则电流错序 另外只要当 A/B/C 三相电流中任何一相电流丢失,ATT7026A 也认为是电流错序 电流相序的标志存放于状态标志寄存器 SFlag 中,SFlag 的 Bit4 为 1 表示 A/B/C 电流出现错序,SFlag 的 Bit4 为 0 表示 A/B/C 电流相序正确 特别提示 : 电流相序检测功能需要通过相序检测使能控制寄存器 EnDtIorder 将其开启, 否则不能对电流相序进行检测 注意 : 客户在需要对电流相序进行检测时才将这个功能开启, 在不检测电流相序时请尽量将其关闭 2.16 起动潜动设置 ATT7026A 通过判断电流是否小于起动阈值实现能量计量的起动和潜动的 当 ATT7026A 检测到某相电流大于起动阈值时, 该相能量就开始计量, 也就是可以起动 ; 而测到某相电流小于起动阈值时, 该相能量停止计量也就是处于潜动状态 ATT7026A 起动电流阈值寄存器 Istartup 在上电复位后默认为 0x000280, 表示在额定电流 Ib 输入时采样信号为 100mv 左右的输入时,ATT7026A 可以在 0.1% 实现起动, 低于 0.08% 时实现潜动 2.17 功率方向判断 ATT7026A 实时提供功率的方向指示, 方便实现四象限功率计量 负功率指示 REVP: 当检测到三相中任意一相的有功功率为负, 则 REVP 输出高电平, 直到下次检测到所有相的有功功率都为正时,REVP 才恢复为低电平 功率方向指示寄存器 PFlag; 用于指示 A/B/C/ 合相的有功以及无功功率的方向 Bit0-3: 分别表示 A B C 合相的有功功率的方向,0 表示为正,1 表示为负 Bit4-7: 分别表示 A B C 合相的无功功率的方向,0 表示为正,1 表示为负 2.18 失压检测 ATT7026A 可以根据设定的阈值电压对 A/B/C 三相电压是否失压进行判断阈值电压可以通过失压阈值设置寄存器 FailVoltage 进行设定 ATT7026A 上电复位后失压阈值设置会根据当前选择的工作模式 ( 三相三线 / 三相四线 ) 默认设置为不同的参数在不对电压有效值进行校正时三相四线模式的失压阈值在电压通道输入 50mv 左右而三相三线模式的失压阈值在电压通道输入 300mv 左右如果对电压有效值进行了校正则必须重新设定失压阈值设置寄存器 FailVoltage 设置方法参考失压阈值设定部分失压状态可以通过状态标志寄存器 Sflag 进行表示 Page15 of 39

16 状态标志寄存器 SFlag 的 Bit0/1/2=1 时分别表示 A/B/C 三相电压低于设定的阈值电压当 A/B/C 三相电压高于设定的阈值电压时 Bit0/1/2= 硬件端口检测 ATT7026A 可以自动检测硬件端口, 当硬件端口改变时系统将自动复位重新起动 ATT7026A 外部端口输入主要有 S0/S1/SCF SEL 2.20 三相三线与三相四线应用 ATT7026A 三相四线模式下采用三元件测量方法, 合相功率计算公式为 : P = U I + U I + U I 4 Q = U I A 90 + U I B 90 + U I C 90 S 4 A A B B C C A B C = p + Q 而 ATT7026A 在三相三线模式下采用两元件测量方法合相功率计算公式为 P = U I + U I 3 AB A CB C Q = U I A 90 + U IC 90 S 3 AB CB = p + Q 在三相三线模式下 ATT7026A 的 B 相通道不参加功率计量的, 只有 A 相和 C 相通道参与三相三线的测量 但是 ATT7026A 可以将 B 通道的参数单独放出, 只要在 B 通道的电压与电流通道上加入相应信号, 在三相三线模式下仍可读取 Pb/Qb/Sb/URmsb/IRmsb/Pfb/Pgb 参数, 但是 B 通道的电压与电流通道上所加的任何信号都不会对三相三线的正常测量产生不良影响 2.21 能量脉冲输出 ATT7026A 提供两类脉冲输出 : 高频脉冲输出 CF1/2 以及低频脉冲输出 F1/2/3/4 下面是电能脉冲信号生成的过程框图 : Page16 of 39

17 电压 电流信号经变换后在功率测量信号处理电路中相乘得到瞬时功率, 对时间积分后成为电能信号, 根据设置将 A/B/C 三相电能做绝对值相加或代数值相加运算, 并将结果变换为频率信号, 然后按照用户设定的分频系数进行分频, 得到可用于校表的电能脉冲输出信号, 在此基础上, 再次分频可得到用于驱动步进电机的低频脉冲信号 下图是高频输出常数为 64 时的分频示意图, 电能脉冲输出的脉宽为 90 毫秒, 当脉冲周期小于 180 毫秒时, 电能脉冲以占空比为 1:1 的等宽脉冲输出 下面是低频输出常数为 16 时的分频示意图, 驱动步进电机的输出脉冲宽度为 275 毫秒, 当脉冲周期小于 550 毫秒时, 脉冲以占空比为 1:1 的等宽脉冲输出 Page17 of 39

18 2.22 参数输出寄存器定义 计量参数输出寄存器列表 : 地址 名称 复位值 功能描述 0x00 RESERVED Reserved. 0x01 r_pa A 相有功功率 0x02 r_pb B 相有功功率 0x03 r_pc C 相有功功率 0x04 r_pt 合相有功功率 0x05 r_qa A 相无功功率 0x06 r_qb B 相无功功率 0x07 r_qc C 相无功功率 0x08 r_qt 合相无功功率 0x09 r_sa A 相视在功率 0x0A r_sb B 相视在功率 0x0B r_sc C 相视在功率 0x0C r_st 合相视在功率 0x0D r_urmsa 0x A 相电压有效值 0x0E r_urmsb 0x B 相电压有效值 0x0F r_urmsc 0x C 相电压有效值 0x10 r_irmsa A 相电流有效值 0x11 r_irmsb B 相电流有效值 0x12 r_irmsc C 相电流有效值 ABC 相电流矢量和的有效值 0x13 r_irmst T 2 计算公式 : Irms = ( ia ib ic) dt T x14 r_pfa A 相功率因数 0x15 r_pfb B 相功率因数 0x16 r_pfc C 相功率因数 0x17 r_pft 合相功率因数 0x18 r_pga A 相电流与电压相角 0x19 r_pgb B 相电流与电压相角 0x1A r_pgc C 相电流与电压相角 0x1B r_pgt 合相相角与合相功率因数相对应 0x1C r_freq 0x 线频率 0x1D RESERVED Reserved. 0x1E r_epa 0x A 相有功电能 0x1F r_epb 0x B 相有功电能 0x20 r_epc 0x C 相有功电能 0x21 r_eqt 0x 合相有功电能 0x22 r_eqa 0x A 相无功电能 0x23 r_eqb 0x B 相无功电能 0x24 r_eqc 0x C 相无功电能 0x25 r_eqt 0x 合相无功电能 0x26 RESERVED Reserved. 0x27 RESERVED Reserved. Page18 of 39

19 0x28 r_rspidata 上一次 SPI 读出的数据 0x29 RESERVED Reserved. 0x2A RESERVED Reserved. ABC 电压矢量和的有效值 ATT7026A 用户手册 (210-SD-111) 0x2B r_urmst 0x T 2 计算公式 Urms = ( ua ub u ) T + + c dt 0 0x2C r_sflag 存放断相相序 SIG 等标志状态 0x2D r_wspidata 上一次 SPI 写入的数据 0x2E r_wspidata 同 0x2D 也是上一次 SPI 写入的数据 0x2F RESERVED Reserved. 0x30 r_eflag 0x 电能寄存器的工作状态 0x31 r_epa2 0x A 相有功电能, 同 Epa, 但是读后清零 0x32 r_epb2 0x B 相有功电能, 同 Epb, 但是读后清零 0x33 r_epc2 0x C 相有功电能, 同 Epc, 但是读后清零 0x34 r_ept2 0x 合相有功电能, 同 Ept, 但是读后清零 0x35 r_eqa2 0x A 相无功电能, 同 Eqa, 但是读后清零 0x36 r_eqb2 0x B 相无功电能, 同 Eqb, 但是读后清零 0x37 r_eqc2 0x C 相无功电能, 同 Eqc, 但是读后清零 0x38 r_eqt2 0x 合相无功电能, 同 Eqt, 但是读后清零 0x39 RESERVED Reserved. 0x3A RESERVED Reserved. 0x3B RESERVED Reserved. 0x3C RESERVED Reserved. 0x3D r_pflag 有功和无功功率方向, 正向为 0, 负向为 1 0x3E r_chksum1 0x043D03 校表数据校验寄存器 ( 三相四线模式下 ) 0x16BD03 校表数据校验寄存器 ( 三相三线模式下 ) 0x3F RESERVED Reserved. Page19 of 39

20 2.23 参数输出寄存器说明 功率寄存器 ( 地址 0x01~0x0C) 寄存器列表 ( 更新时间 1/3 秒左右第一次上电要 650ms 才能有正确值 ) Addr 0x01 0x02 0x03 0x04 0x05 0x06 0x07 0x08 0x09 0x0A 0x0B 0x0C Reg Pa Pb Pc Pt Qa Qb Qc Qt Sa Sb Sc St ATT7026A 功率寄存器采用补码形式给出, 最高位是符号位, 所以根据 ATT7026A 功率寄存器给出的有功和无功功率的方向, 可以直接得到当前所处的象限 视在功率总是大于或者等于 0, 所以视在功率的符号位始终为 0 功率寄存器格式定义 : A/B/C 分相功率参数 :X X:24 位数据, 补码形式如果大于 2^23, 则 XX=X-2^24 否则 XX=X 实际的 A/B/C 分相功率参数为 :XXX=XX*2^15/2^23 A/B/C 合相功率参数 :T T:24 位数据, 补码形式如果大于 2^23, 则 TT=T-2^24 否则 TT=T 实际的 A/B/C 合相功率参数为 :TTT=TT*2^17/2^23 单位 : 功率单位是瓦 (w), 与脉冲常数有关系 按照上述公式算得的功率值是基于 3200imp/kwh 如果设置脉冲常数为 EC, 则实际功率值等于计算值再乘于 3200/EC 的系数 有效值寄存器 ( 地址 0x0D~0x130 x2b) 寄存器列表 ( 更新时间 1/3 秒左右第一次上电要 650ms 才能有正确值 ) 0 Addr 0x0D 0x0E 0x0F 0x10 0x11 0x12 Reg URmsa URmsb URmsc IRmsa IRmsb IRmsc Addr 0x13 0x2B Reg IRmst URmst 有效值寄存器采用补码形式给出, 最高位为符号位, 有效值总是大于等于 0, 所以最高符号位始终等于 Vrms:24 位数据, 补码形式实际电压有效值为 :Urms=Vrms*2^10/2^23 单位为 : 伏特 (V) 或者安培 (A) 功率因数寄存器 ( 地址 0x14~0x17) 寄存器列表 :( 更新时间 1/3 秒左右, 第一次上电要 650ms 才能有正确值 ) Addr 0x14 0x15 0x16 0x17 Reg Pfa Pfb Pfc Pft 功率因数寄存器采用补码形式给出, 最高位为符号位, 功率因数的符号位由无功功率的符号位决定, 参考 2.13 功率因数测量部分说明 PF:24 位数据, 补码形式如果大于 2^23, 则 PFF=PF-2^24 Page20 of 39

21 否则 PFF=PF 实际功率因数为 :pf=pff/2^23 ATT7026A 用户手册 (210-SD-111) 电压与电流相角寄存器 ( 地址 0x18~0x1B) 寄存器列表 ( 更新时间 1/3 秒左右, 第一次上电要 650ms 才能有正确值 ) Addr 0x18 0x19 0x1A 0x1B Reg Pga Pgb Pgc Pgt 相角寄存器采用补码形式给出最高位为符号位表示 -90º~+90º 之间的角度 θ:24 位数据补码形式如果大于 2^23 则 =-2^24 否则 α=θ 实际相角为 : Pg=(α/2^23)*2*180/pi 度或者 Pg=(α/2^23)*2 弧度 线频率寄存器 ( 地址 :0x1C) 电压线频率寄存器采用 24 位补码形式给出, 最高位为符号位, 符号位总是为 0 Freq:24 位数据, 补码形式实际频率为 :f=freq*2^10/2^23 单位 : 赫兹 (Hz) 能量寄存器 ( 地址 :0x1E~0x25 0x31~0x38) 寄存器列表 Addr 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 0x25 Reg Epa Epb Epc Ept Eqa Eqb Eqc Eqt Addr 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 Reg Epa2 Epb2 Epc2 Ept2 Eqa2 Eqb2 Eqc2 Eqt2 ATT7026A 提供两类能量寄存器, 一类是累加型能量寄存器, 另一类是清零型能量寄存器, 清零型能量寄存器标有 2 的符号 读取累加型能量寄存器对清零型能量寄存器不产生任何影响, 但是读取清零型能量寄存器之后, 在下一次能量更新时会将累加型能量寄存器也进行清零 累加型能量寄存器可以从 0x 到 0xFFFFFF, 继续累加, 又回到 0x 开始累加, 在 0xFFFFFF 溢出到 0x 时, 会产生溢出标志, 参考 电能寄存器工作状态寄存器部分说明 能量寄存器 :24 位寄存器, 无符号数该参数与设定的脉冲常数有关 如我们设定脉冲常数为 3200imp/kwh 则这些能量寄存器的单位为 1/3200kwh 标志状态寄存器 ( 地址 :0x2C) SFlag 位定义 : Bit23 Bit22 Bit21 Bit20 Bit19 Bit18 Bit17 Bit16 Def Bit15 Bit14 Bit13 Bit12 Bit11 Bit10 Bit09 Bit08 Def Cstart Bstart Astart --- Bit07 Bit06 Bit05 Bit04 Bit03 Bit02 Bit01 Bit00 Def SIG Iorder Uorder PC PB PA 该寄存器用于指示 ATT7026A 内部状态定义参考上表 Bit00:= 1 表示 A 相失压 Bit01:= 1 表示 B 相失压 Bit02:= 1 表示 C 相失压 Page21 of 39

22 Bit03:= 1 表示电压相序错 Bit04:=1 表示电流相序错 Bit07: 上电复位后 SIG 自动变低, 同时将 SFlag.7 置高 ; 然后当 SPI 写入数据之后,SIG 自动变高的同时 SFlag.7 自动变低 这样 SFlag 的 Bit07 SIG 标志位与硬件 SIG 信号是完全同步的 Bit09:= 1 表示 A 相处在潜动状态 Bit10:= 1 表示 B 相处在潜动状态 Bit11:= 1 表示 C 相处在潜动状态 电能寄存器工作状态寄存器 ( 地址 :0x30) 该寄存器读后自动进行清零操作 Bit0~2: 第 A/B/C 相正向有功电能溢出 Bit3: 三相正向有功电能溢出 ; Bit4~6: 第 A/B/C 相正向无功电能溢出 Bit7: 三相正向无功电能溢出 ; Bit8~10: 第 A/B/C 相反向有功电能溢出 Bit11: 三相反向有功电能溢出 ; Bit12~14: 第 A/B/C 相反向无功电能溢出 Bit15: 三相反向无功电能溢出 ; Bit16: 系统上电时校表数据请求每次系统上电后,Bit16 将会被置位, 而后自动清零 有功和无功功率方向寄存器 ( 地址 :0x3D) 功率方向指示寄存器 PFlag: 用于指示 A/B/C/ 合相的有功以及无功功率的方向 Bit0-3: 分别表示 A B C 合相的有功功率的方向,0 表示为正 1, 表示为负 Bit4-7: 分别表示 A B C 合相的无功功率的方向,0 表示为正 1, 表示为负 校表数据校验和寄存器 ( 地址 :0x3E) ATT7026A 专门提供两个寄存器 ChkSum1, 用于存放 ATT7026A 内部所有校表数据的校验和, 外部 MCU 可以检测这两个寄存器的值来监控 ATT7026A 的校表数据是否错乱 校验和是从地址 0x01 到 0x3F 的所有校表数据之和 外部 MCU 写入校表数据后,1/3 秒的时间内 ATT7026A 才能完成对校验和计算和更新 SPI 读校验寄存器 ( 地址 :0x28) 这个寄存器保存有前次 SPI 读出的数据, 可用于 SPI 读出数据时的校验 SPI 写校验寄存器 ( 地址 :0x2D 0x2E) 这两个寄存器同时保存有前次 SPI 写入的数据, 可用于 SPI 写入数据的校验 注意 :WSPIData1 与 WSPIData2 是两个定义完全相同的独立寄存器 Page22 of 39

23 第三部分校表方法 3.1 硬件校表 ATT7026A 支持电阻网络校表, 通过简单地调节电压取样的电阻大小, 可以对仪表进行校正校正, 之后有功精度可高达 1s, 无功精度 2 级, 在这种应用模式下 SCF S0 S1 不能全部接高电平或者全部悬空不接 起动与潜动 : 在电流通道输入 Ib 电流时 ATT7026A 的取样电压为 0.1v, 电流默认在 0.1%Ib ATT7026A 可以正常起动, 低于 0.08%Ib ATT7026A 处于潜动状态 单相高频输出 CF 频率公式 : 电压通道输入 Vu 电流通道输入 Vi ATT7026A 的 ADC 增益系数 G 恒定为 单相高频输出频率为 CF=1600*Vu*Vi*G 2 /HFreq 单相低频输出频率为 LF=CF/Lfreq HFreq 由 SCF/S1/S0 决定 ( 参照下表 ) 表 3-1 SCF S1 S0 Hfreq LFreq 软件校表模式 在 Vu=0.5v Vi=0.1v 时单相高频输出 CF 频率与 SCFS1S0 的关系 表 3-2 SCF S1 S0 HFreq LFreq CF(Hz) 软件校表模式 设计思路 : 1 根据额定电压值 Un( 单位 : 伏特 ) 和额定电流值 Ib( 单位 : 安培 ) 以及所选择的校表常数 EC( 单位 :imp/kwh) 按照公式计算 CF 的输出频率根据 CF 输出频率在表 3-2 选择适当的 HFreq 参数 CF 计算公式 CF=EC*Un*Ib/ 根据选定的计度器变比为 N( 即变比为 N:1) 按照公式计算出 LFreq Page23 of 39

24 LFreq 计算公式 LFreq=EC*2/N 3 依据 HFreq 和 LFreq 参考表格 3-2 选择适当的 SCFS1S0 的状态实际实例 : 例如, 设计一款额定电压 220V, 额定电流 5A, 校表常数 1600imp/kWh, 计度器变比为 400:1 的三相电能表 根据上面公式算得 CF=EC*Un*Ib/ =1600*220*5/ =0.4889Hz 电流 电压额定输入时对应的 ADC 通道输入电压分别选在 0.1V 以及 0.5V 左右, 根据算得的 CF 频率查表 3-2 寻找到一个最为接近的频率是 Hz 所以选择 HFreq=64 根据计度器变比为 400:1 的要求, 推算 LFreq=EC*2/N=1600*2/400=8 根据 HFreq=64 以及 LFreq=8 的参数查表 3-2, 选择 SCF/S1/S0=101 可以满足要求 3.2 软件校表 ATT7026A 支持全数字校表, 即软件校表 经过校正的仪表, 有功精度可高达 0.5s, 无功精度 2 级 如果选择软件校表那么 SCF S0 S1 全部接高电平或者全部悬空不接 功率校正主要分比差校正和角差校正两部分 比差校正主要是对互感器的比差进行修正 互感器比差的非线性在高精度计量时也是不可忽视的 ATT7026A 可以将比差进行分段补偿 ATT7026A 提供寄存器 Iregchg, 可以根据电流大小设置补偿分段区域 如下图所示 根据实际应用需要设置 Iregchg 当 Iregchg 设定之后需要对这两个区域分别进行比差校正 如果将 Iregchg 设为 0, 则说明在整个电流区域内使用一次比差补偿, 这时只需根据误差对功率增益 0 进行设置即可 同比差修正类似, 角差修正也采用分段修正 ATT7026A 最多可以提供五个角差补偿区域, 如下图所示 当然, 实际应用中角差的补偿并不需要将电流区域分得这么多段, 在 1s 或者 0.5s 表应用时只需要分成两段补偿即可达到要求, 在设计 0.2 级或者更高精度的电能表时才可能需要用到多于 2 段的补偿方法 完成比差修正之后, 再做角差校正, 比差校正在功率因数 1.0 时进行, 而角差校正在 0.5L 时完成 ATT7026A 还可以通过寄存器对电流 电压有效值进行修正, 相关计算公式参考后面更为详细的说明 Page24 of 39

25 ATT7026A 高频脉冲输出频率可以通过 HFConst 寄存器进行设定, 低频脉冲输出频率则通过 LFConst 寄存器进行设定 ATT7026A 启动电流通过寄存器 Istartup 进行设置 Page25 of 39

26 3.3 校表寄存器定义 地址 名称 复位值 功能描述 0x00 RESERVED Reserved. 0x01 RESERVED 0x Reserved. 0x02 w_iregion1 0x 相位补偿区域设置 1 0x03 w_iregion2 0x 相位补偿区域设置 2 0x04 w_iregion3 0x 相位补偿区域设置 3 0x05 w_iregion4 0x 相位补偿区域设置 4 0x06 w_pgaina0 0x A 相功率增益 0 0x07 w_pgainb0 0x B 相功率增益 0 0x08 w_pgainc0 0x C 相功率增益 0 0x09 w_pgaina1 0x A 相功率增益 1 0x0A w_pgainb1 0x B 相功率增益 1 0x0B w_pgainc1 0x C 相功率增益 1 0x0C w_phsrega0 0x A 相区域 0 相位校正 0x0D w_phsrega1 0x A 相区域 1 相位校正 0x0E w_phsrega2 0x A 相区域 2 相位校正 0x0F w_phsrega3 0x A 相区域 3 相位校正 0x10 w_phsrega4 0x A 相区域 4 相位校正 0x11 w_phsregb0 0x B 相区域 0 相位校正 0x12 w_phsregb1 0x B 相区域 1 相位校正 0x13 w_phsregb2 0x B 相区域 2 相位校正 0x14 w_phsregb3 0x B 相区域 3 相位校正 0x15 w_phsregb4 0x B 相区域 4 相位校正 0x16 w_phsregc0 0x C 相区域 0 相位校正 0x17 w_phsregc1 0x C 相区域 1 相位校正 0x18 w_phsregc2 0x C 相区域 2 相位校正 0x19 w_phsregc3 0x C 相区域 3 相位校正 0x1A w_phsregc4 0x C 相区域 4 相位校正 0x1B w_ugaina 0x A 相电压校正 0x1C w_ugainb 0x B 相电压校正 0x1D w_ugainc 0x C 相电压校正 0x1E w_irechg 0x 比差补偿区域设置 0x1F w_istartup 0x 起动电流阈值设置 0x20 w_hfconst 0x 高频脉冲输出设置 0x21 w_lfconst 0x 低频脉冲输出设置 0x22 RESERVED 0x Reserved. 0x23 RESERVED 0x Reserved. 0x24 RESERVED 0x Reserved. 0x25 RESERVED 0x Reserved. 0x26 w_igaina 0x A 相电流校正 0x27 w_igainb 0x B 相电流校正 0x28 w_igainc 0x C 相电流校正 0x29 w_failvoltage 0x 失压阈值设置 ( 三相四线模式 ) 0x 失压阈值设置 ( 三相三线模式 ) Page26 of 39

27 0x2A w_eaddmode 0x 合相能量累加模式选择 0x2B RESERVED 0x Reserved. 0x2C RESERVED 0x Reserved. 0x2D RESERVED 0x Reserved. 0x2E RESERVED 0x Reserved. 0x2F RESERVED 0x Reserved. 0x30 w_endtiorder 0x 电流相序检测使能控制 0x31 RESERVED 0x0020C4 Reserved. 0x32 RESERVED 0x Reserved. 0x33 RESERVED 0x Reserved. 0x34 RESERVED 0x Reserved. 0x35 RESERVED 0x Reserved. 0x36 RESERVED 0x Reserved. 0x37 RESERVED 0x Reserved. 0x38 RESERVED 0x Reserved. 0x39 RESERVED 0x Reserved. 0x3A RESERVED 0x Reserved. 0x3B RESERVED 0xF99999 Reserved. 0x3C RESERVED 0x Reserved. 0x3D RESERVED 0x Reserved. 0x3E w_hfdouble 0x 脉冲常数加倍选择 0x3F w_uadcpga 0x 电压通道 ADC 增益选择 ATT7026A 用户手册 (210-SD-111) 3.4 校表寄存器说明 高频脉冲输出设置寄存器 HFConst (Addr: 0x20) 参数 HFConst 决定校表用的高频脉冲输出 CF 的频率 HFConst 不能写入大于 0x000D00 的参数值 已知 : 高频脉冲常数 EC 额定输入电压 Un 额输入电流 Ib 电压输入通道取样电压 Vu 电流输入通道取样电压 Vi ATT7026A 增益 G HFConst 计算公式 : G G Vu Vi HF Const = INT[ ] U I EC 注 : 上式中 INT[ ] 是取整操作, 如 :INT[2.28]= 低频脉冲输出设置寄存器 LFConst (Addr: 0x21) n b 根据选定的计度器变比为 N( 即变比为 N:1), 按照公式计算出 LFConst LFConst 计算公式 :LFConst=EC*2/N 比差补偿区域设置寄存器 Irechg (Addr: 0x1E) 通常情况下, 对于 0.5S 和 1S 的要求, 不需要对比差进行分段 Page27 of 39

28 在设置比差补偿区域时, 分段点的电流所对应的信号输入电压值应确保小于 35 毫伏, 否则会产生溢出错误 已知 : 比差分段补偿区域 Ig (Ig<0.035) 增益 G 计算公式 : Irechg=INT[G*Ig*2 23 ] 功率增益补偿寄存器 Pgain (Addr: 0x06~0x0B) 在功率因数 cos(φ)=1 时进行功率增益校正 如果设定寄存器 Iregchg 不为 0, 则必须分别在 I>Irechg 以及 I<Irechg 处进行校正 其中 I>Irechg 处校正的为 Pgain0, 而 I<Irechg 处校正的为 Pgain1 如果设定寄存器 Iregchg 为 0, 即比差补偿不分区域进行, 则只需要在 100%Ib 处进行一次校正即可, 然后将校正系数同时写到 Pgain0 和 Pgain1 中 已知 : 标准表上读出误差 :err 计算公式 : err Pgain = 1 + err 如果 Pgain>=0, 则 Pgain=INT[Pgain*2 否则 Pgain<0, 则 Pgain=INT[2 24 +Pgain*2 23 ] 注 : 误差 err 可以从标准表上直接读到, 也可以计算得到,err 按照以下公式定义 : 23 ] 相位补偿区域设置寄存器 Iregion (Addr: 0x02~0x05) ATT7026A 最多可以划分 5 个电流区域进行相位补偿已知 : 电流区域 Is 增益 G 计算公式 : Iregion=INT[G*Is*2 23 ] 在精度要求不高时 ( 如 0.5S 或者 1.0S), 通常只需设置 2 个相位补偿区域, 即只需将 Iregion1/2/3 均设为 0, 根据需要设置 Iregion4 如果设置 2 个相位补偿区域, 就必须在 I>=Iregion4 以及 I<Iregion4 处分别进行一次相位补偿 将 I>=Iregion4 的相位补偿同时写到 Phsreg0/1/2/3 中, 而 I<Iregion4 的相位补偿写到 Phsreg4 中 相位校正寄存器 Phsreg (Addr: 0x0C~0x1A) PhsregA0 PhsregA1 PhsregA2 PhsregA3 PhsregA4 PhsregB0 PhsregB1 PhsregB2 PhsregB3 PhsregB4 PhsregC0 PhsregC1 PhsregC2 PhsregC3 PhsregC4 分别对应区间 Reg0 Reg1 Reg2 Reg3 Reg4 在 cos(φ)=1 时功率增益已经校正好之后, 再进行相位补偿 在 cos(φ)=0.5l 处进行校正 已知 : 0.5L 处标准表误差读数 err 相位补偿公式 : θ =acos((1+err)*0.5)- π /3 Page28 of 39

29 如果 θ >=0,Phsreg=INT[θ *2 23 ] 否则 θ <0,Phsreg=INT[2 24 +θ *2 23 ] 电压校正寄存器 Ugain(Addr: 0x1B~0x1D) 在 Ugain=0 时, 标准表上读出实际输入电压有效值 Ur, 通过 SPI 口读出测量电压有效值寄存器的值为 DataU 已知 : 实际输入电压有效值 Ur 测量电压有效值 Urms=DataU*2 10 /2 23 计算公式 Ugain=Ur/Urms-1 如果 Ugain>=0, 则 Ugain=INT[Ugain*223 ] 否则 Ugain<0, 则 Ugain=INT[2 24 +Ugain*2 23 ] 电流校正寄存器 Igain (Addr: 0x26~0x28) 在 Igain=0 时, 标准表上读出实际输入电流有效值 Ir, 通过 SPI 口读出测量电流有效值寄存器的值为 DataI 已知 : 实际输入电流有效值 Ir 测量电流有效值 Irms=DataI*2 计算公式 ; Igain=Ir/Irms-1 10 /2 23 如果 Igain>=0, 则 Igain=INT[Igain*2 23 ] 否则 Igain<0, 则 Igain=INT[2 24 +Igain*2 23 ] 特别提示 ; ATT7026A 可以提供 A/B/C 三相电流矢量和有效值参数 IRmst, 为了确保 IRmst 的精度, 推荐按下面的方法进行电流有效值的校正 在额定电流 Ib 输入时电流通道取样电压为 100mv 左右,Igain=0 时从电流有效值寄存器读出的参数为 60A 左右, 建议将 ATT7026A 的电流有效值校正到 N*Ib 左右, 外部 MCU 从 ATT7026A 读取到电流有效值后只需要将其除于 N 即为真值 N 的确定方法是将 N*Ib 尽量接近 60A 例如, 额定电流 Ib=1.5A, 则 N=40; 额定电流 Ib=5A, 则 N= 起动电流设置寄存器 Istartup (Addr: 0x1F) 已知 : 启动电流选择 Io 处增益 G 计算公式 : Istartup=INT[G*Io* 失压阈值设置寄存器 FailVoltage (Addr: 0x29) 失压阈值是根据校正后的电压值进行设定的 23 ] 13 具体公式为 : 失压阈值 FailVoltage=Un*2 *D Un: 表示校正后的电压值 D: 表示失压电压值, 是一个百分数例如,1 三相四线制, 校正后的额定电压值 Un 为 220v, 失压电压值 D 为 10% 则失压阈值寄存器的参数 为 220*2 13 *10%= 0x02C000 也就是说将 0x02C000 设定到失压阈值寄存器之后, 当输入电压低于 Un 的 10%, 即 22v 时将给出失压指示 2 三相三线制, 校正后的额定电压值 Un 为 100v, 失压电压值 D 为 60%, 则失压阈 Page29 of 39

30 值寄存器的参数为 100*2 13 *60%=0x 这样设置失压阈值寄存器之后, 当电压低于 Un 的 60%, 即 60v 时将给出失压指示信号 合相能量累加模式选择寄存器 EAddMode (Addr: 0x2A) 合相能量累加模式 EAddMode 的 Bit0 默认 =0, 三相四线默认为绝对值相加, 三相三线默认为代数相加 当 EAddMode 的 Bit0=1 时, 三相四线合相能量采用代数相加, 三相三线合相能量采用绝对值相加 电流相序检测使能控制寄存器 EnDtIorder (Addr: 0x30) 只有当电流相序检测使能寄存器 EnDtIorder=0x 时,ATT7026A 才能将电流逆相序检测功能开启 ; 当 EnDtIorder 寄存器的内容不等于 0x 的其他值时都不能对电流逆相序进行检测 建议 : 仅在需要对电流相序进行检测时才将这个功能开启, 在不检测电流相序时请尽量将其关闭, 不推荐长期将该功能开启 电压通道 ADC 增益选择寄存器 UADCPga (Addr: 0x3F) ATT7026A 提供电压通道 ADC 增益选择寄存器 UADCPga 用于控制电压通道的 ADC 放大倍数 推荐电压额定 Un 时的取样信号 Vu* 放大倍数 UADCPgain 等于 0.5v 左右 UADCPga 寄存器内容电压通道 ADC 倍数 0x x x x xA5xxxx 禁止写入 其他任何值 脉冲常数加倍选择寄存器 HFDouble (Addr: 0x3E) ATT7026A 提供脉冲常数加倍选择寄存器 HFDouble 用于控制小电流时的脉冲常数加倍, 也就是在小电流时通过 HFDouble 寄存器可以将脉冲常数放大, 从而使得小电流时的校表速度加快 注意 : 脉冲常数加倍是通过将功率值进行放大实现的, 所以仅推荐在小电流时使用该功能 注意 : 建议在大信号时不使用脉冲常数加倍选择寄存器, 因为大信号时将功率信号放大可能会导致内部功率寄存器溢出而产生未知错误 HFDouble 寄存器内容 0x5533CC 0x5533CD 0x5533CE 0x5533CF 其他任何值 脉冲常数放大倍率脉冲常数放大 2 倍脉冲常数放大 4 倍脉冲常数放大 8 倍脉冲常数放大 16 倍脉冲常数不变 3.5 软件校表步骤及参数计算 在对 ATT7026A 设计的电表进行校正时, 必须提供标准电能表 利用标准电能表校表时, 有功能量脉冲 CF1 可以直接连到标准表上去, 然后根据标准电能表的误差读数对 ATT7026A 进行校正,ATT7026A 只需要对有功功率进行校正即可, 无功功率不需要进行校正 Page30 of 39

31 校表流程 : ATT7026A 用户手册 (210-SD-111) 参数设置 : 分相校正 : Page31 of 39

32 分相校正时, 分相电流的校正可能会影响 A/B/C 三相电流矢量和寄存器 IRmst 的精度, 所以对于电流有效值的校正请详细参考 电流校正寄存器部分的说明 Page32 of 39

33 第四部分 SPI 通讯接口 4.1 SPI 通讯接口介绍 ATT7026A 内部集成了一个 SPI 串行通讯接口 ATT7026A 的 SPI 接口采用从属方式工作, 使用 2 条控制线和 2 条数据线 :CS SCLK DIN 和 DOUT CS: 片选 ( 输入脚 ), 允许访问串口的控制线 CS 由高电平变为低电平时表示 SPI 操作开始,CS 由低电平变为高电平时表示 SPI 操作结束 所以每次操作 SPI 时 CS 必须出现下降沿, CS 出现上升沿 时表示 SPI 操作结束 DIN: 串行数据输入 ( 输入脚 ), 用于把用户的数据 ( 如数据 / 命令 / 地址等 ) 传输到 ATT7026A DOUT: 串行数据输出 ( 输出脚 ), 用于从 ATT7026A 寄存器读出数据 SCLK: 串行时钟 ( 输入脚 ), 控制数据移出或移入串行口的传输率 上升沿放数据, 下降沿取数据 SCLK 下降沿 时将 DIN 上的数据采样到 ATT7026A 中,SCLK 上升 沿时将 ATT7026A 的数据放置于 DOUT 上输出 ATT7026A 与外部 MCU 的 SPI 通讯接口典型接线图如图所示 考虑 SPI 传输信号线有可能受到干扰或者出现抖动, 可以在 SPI 信号线上串联一个小电阻 这个电阻与 IC 输入端的寄生电容 C 结合起来可构成一个低通滤波器, 可以消除 SPI 接口信号上的任何振荡, 一般推荐使用 Ω 的电阻 如果数字输入端的内部电容不够大, 还可在这个输入端加一个外接电容, 可选 10pF 左右的电容 对于这两个电阻 电容参数选择, 要根据 SPI 通讯速率以及外部 MCU 的型号进行分析, 并需要作些相关的实验, 以确定电阻 电容值是否合适 Page33 of 39

34 4.2 SPI 读操作 ATT7026A 的计量参数以及校表参数寄存器是通过 SPI 提供给外部 MCU SPI 读时序图 : 命令格式说明 : Bit7: 0 表示读命令, 用于外部 MCU 读取 ATT7026A 的计量及校表寄存器 Bit7: 1 表示写命令, 用于更新校表数据 ( 可参考 SPI 写操作部分 ) Bit6...0: 表示数据地址, 参照参数输出寄存器定义部分 SPI 读工作过程 : 通过 SPI 写入 1 个 8 Bits 的命令字之后, 可能需要一个等待时间, 然后才能通过 SPI 读取 24 Bits 的数据 在 SCLK 低于 200KHz 时, 可以不需要等待, 即等待时间为 0μs; 当 SCLK 频率高于 200KHz 时, 则需要等待大约 3us 数据格式参见参数输出寄存器说明部分 注意事项 : 发送命令时 MSB 在前,LSB 在后, 接收数据时也是 MSB 在前,LSB 在后 SCLK 为高时更新 DIN 或 DOUT 上的数据 每个寄存器的读或写需操作一次 CS SPI 读操作示例 : Page34 of 39

35 4.3 SPI 写操作 ATT7026A 用户手册 (210-SD-111) 外部 MCU 可以通过 SPI 对 ATT7026A 的校表寄存器进行写操作 SPI 写时序图 : 命令格式说明 : Bit7/6: 1 0 表示写命令, 用于更新校表数据寄存器 Bit7/6: 1 1 表示写入特殊命令字, 参考 SPI 写特殊命令操作 Bit7: 0 表示读命令, 用于外部 MCU 读取 ATT7026A 的计量数据 Bit5...0: 表示数据地址, 参见校表寄存器定义部分工作过程 : 通过 SPI 写入 1 个 8 Bits 的命令字之后, 不需等待继续通过 SPI 写入 24 Bits 的数据即可 注意事项 : 发送命令和数据时,MSB 在前,LSB 在后 SPI 写操作示例 : Page35 of 39

36 4.4 SPI 写特殊命令操作 ATT7026A 用户手册 (210-SD-111) ATT7026A 提供一些特殊的命令字以配合软件校表之用 SPI 写特殊命令字的操作过程与 SPI 写操作时序基本一致 SPI 写特殊命令字时序图 : 命令格式说明 Bit7/6: 1 1 表示写入特殊命令字 Bit7/6: 1 0 表示写命令, 用于更新校表数据 Bit7/6: 0 X 表示读命令, 用于外部 MCU 读取 ATT7026A 的计量数据 Bit5...0: 表示特殊命令字的类型工作过程 : 先通过 SPI 写入 1 个 8 Bits 的命令字, 接着通过 SPI 写入 24 Bits 的数据 注意 : 发送命令和数据时,MSB 在前,LSB 在后 特殊命令使用说明 : ATT7026A 提供特殊命令主要有 0xC3,0xC6,0xC9 和 0xD3 特殊命令 8 位命令字 24 位数据 命令说明 发送命令字 0xC3, 数据位为 0x 清校表数据 0xC h 可以将校表数据寄存器的内容恢复到上电初始值, 然后重新进行校表 上电复位后默认读出计量数据寄存器的参数 发送命令 0xC6, 数据不等于 校表数据读出 0xC A h 0x00005A, 可以选择通过 SPI 读出 00-7Fh 的计量数据寄存器的参数 发送命令 0xC6, 数据等于 0x00005A, 可以选择 SPI 读出校表数据寄存器的参数, 此时不可读出计量参数寄存器的值 选择读出校表数据寄存器的参数 时从 0x00 地址读出的值固定为 0xAAAAAA, 否则不等于 0xAAAAAA 校表数据写使 0xC h 上电复位后默认使能 SPI 校表数据寄存器写操作 Page36 of 39

37 发送命令 0xC9, 数据 0x000000, 可以使能 SPI 校表数据写操作, 此时才能通过 SPI 口修改校表数据寄存器的参数 ; 使能 SPI 写操作后从 SPI 校验寄存器 0x002D/0x002E 可以读出上一次通过 SPI 口写入的数据 发送命令 0xC9, 数据 0x000001, 可以关闭 SPI 校表寄存器的写操作, 防止校表数据寄存器被 SPI 误写 ; 关闭 SPI 校表寄存器写操作后, 如果进行 SPI 写操作, 此时从 SPI 校验寄存器 0x002D/0x002E 读出的数据固定为 0x 软件复位 0xD h 发送该命令 0xD3, 数据 0x 可以对 ATT7026A 进行复位操作 Page37 of 39

38 第五部分电气特性 ATT7026A 用户手册 (210-SD-111) 5.1 电气参数 参数 最小 典型 最大 单位 测试条件及注释 电源电压 VCC V 电源电压 VDD 3 V V 基准电源 基准电源 TC 30 ppm 输入电压范围 1.5 V 差模输入 Vpp VOH(F1,F2,F3,F4) 4.5 V IOH=10mA VOL(F1,F2,F3,F4) 0.5 V IOL=10mA VOH(CF1,CF2,REVP) 4.5 V IOH=5mA VOL(CF1,CF2,REVP) 0.5 V IOL=5mA 1 逻辑高输入电压 2.5 Vmin 1 逻辑低输入电压 0.8 Vmax 2 逻辑高输出电压 2.5 Vmin Ioh=2mA 2 逻辑低输出电压 0.8 Vmax Iol=2mA 参考电压输出阻抗 Ω 最小负载电阻 KΩ 最大负载电容 pf 电源电流 28 ma VDD=3.0;VCC=5V ADC 位数 16 bit ADC 采样速率 3.2 khz ADC 动态范围 88 DB ADC 总谐波失真 -95 DB ADC 通道干扰 -92 DB 晶体 MHz 温度范围 注 1: 指 CS,SCLK,DIN,SEL 注 2: 指 DOUT Page38 of 39

39 5.2 芯片封装 封装形式 :44Pin QFP (Quad Flat Package 10X10 ) NOTE1.Controlling dimension ---millimeter. 2.Each lead centerline is located within 0.12mm(0.005inch) of its true position (T.P.)at maximum material condition Page39 of 39

目录第一部分芯片介绍 芯片特性 功能简介 内部框图 引脚定义 应用示意图... 7 第二部分系统功能 电源监控电路 模数转换 有功功率测量

目录第一部分芯片介绍 芯片特性 功能简介 内部框图 引脚定义 应用示意图... 7 第二部分系统功能 电源监控电路 模数转换 有功功率测量 ATT7030A 用户手册 http://www.hitrendtech.com Page1 of 16 Rev1.0 目录第一部分芯片介绍... 3 1.1 芯片特性... 3 1.2 功能简介... 4 1.3 内部框图... 4 1.4 引脚定义... 5 1.5 应用示意图... 7 第二部分系统功能... 8 2.1 电源监控电路... 1 2.2 模数转换... 8 2.3 有功功率测量...

More information

ATT7025用户手册

ATT7025用户手册 HT7038 用户手册 Tel: 021-51035886 Fax: 021-50277833 Email: sales@hitrendtech.com Web: http://www.hitrendtech.com Rev 1.3 http://www.hitrendtech.com Page1 of 53 版本修改说明 版本号 修改日期 修改记录 V1.0 2014/02/17 创建初稿 V1.1

More information

ATT7025用户手册

ATT7025用户手册 ATT7022E/ 26E/28E 用户手册 Tel: 021-51035886 Fax: 021-50277833 Email: sales@hitrendtech.com Web: http://www.hitrendtech.com Rev 3.4 http://www.hitrendtech.com Page1 of 67 0B 版本修改说明 版本号修改记录 V1.0 2011/03/30:

More information

BL6523校表寄存器说明

BL6523校表寄存器说明 BL6526B 应用指南 目录 BL6526B 特点... 2 BL6526B 典型应用原理图... 3 BL6526B SPI 数据通信... 4 SPI 端口操作注意事项... 4 SPI 读写的典型时序图... 4 SPI 读写例程... 5 BL6526B 校表流程... 9 采用 BL6526B 设计电能表示例... 14 注 :BL6526B 的性能指标详见 BL6526B/C 数据手册

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - ATT7021manualcn.doc

Microsoft Word - ATT7021manualcn.doc 珠海炬力集成电路设计有限公司 ATT7021 用户手册 Page 1of 10 ATT7021 用户说明 特点 线性度好 一点校准误差可以保证误差小于 0.1 动态工作范围大于 500 1 高频输出脉冲适宜于计算机数据处理 低频输出脉冲能直接驱动脉冲电机 电流通道增益可调 在电流通道输入端可以使用小的电阻值锰铜 具有真正的反窃电功能逻辑输出 REVP 用于监测负功率, 能够精确测量正负两个方向的有功功率,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

第 卷第 期 $ 年 月 电力电容器与无功补偿 ( )&)'*+, -()'+*.( ( /&(01)+* 0 # # % ( # $!"# $ %$ $#&' &'# $## 考虑电能质量约束下的电弧炉无功补偿方案 * $!"#$%&' (")*+,-./ :; 234 <=

第 卷第 期 $ 年 月 电力电容器与无功补偿 ( )&)'*+, -()'+*.( ( /&(01)+* 0 # # % ( # $!# $ %$ $#&' &'# $## 考虑电能质量约束下的电弧炉无功补偿方案 * $!#$%&' ()*+,-./ :; 234 <= 第 卷第 期 $ 年 月 电力电容器与无功补偿 ( )&)*+, -()+*.( ( /&(01)+*0 ##% (# $!"#$ %$$#&&#$## 考虑电能质量约束下的电弧炉无功补偿方案 * $!"#$%&(")*+,-./01 23456789:;234?@A 349BCDEFGHIJKLMN/OL < 1)P-.Q 34569R;ST.UVWX349B Y AZ[\] Z[^_`ab,c2349B1

More information

datasheet

datasheet 特点 高精度, 在输入动态工作范围 (3000:1) 内, 非线性测量误差小于 0.1% 校表过程中高稳定性, 输出频率波动小于 0.1% 输入信号频率变化 (45Hz~65Hz) 引起的测量误差小于 0.1% 单电源工作 (5V), 静态功耗 5mW( 典型值 ), 可以采用阻容分压电源方案供电 可以选择代数求和或绝对值求和两种方式来计量三相平均功率和 精确测量正 负两个方向的有功功率, 且以同一方向计算电能

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

H0904 SPEC DRAFT

H0904 SPEC DRAFT ATT7059BU 用户手册 钜泉光电科技 ( 上海 ) 股份有限公司 Tel: 021-51035886 Fax: 021-50277833 Email: sales@hitrendtech.com Web: http://www.hitrendtech.com http://www.hitrendtech.com Page1 of 39 Rev1.0 版本更新说明 版本号修改时间修改内容 V1.0

More information

双竞具体产品名称

双竞具体产品名称 概述 双竞集成 脉冲输出的电能计量集成电路 GC7755A 是一种高准确度电能测量集成电路 它只在 ADC 和基准源中使用模拟电路, 所 有其它信号处理 ( 如相乘和滤波 ) 都使用数字电路, 这使 GC7755A 在恶劣的环境条件下仍能 保持极高的准确度和长期稳定性 GC7755A 引脚 F1 和 F2 以较低频率形式输出有功功率平均值, 能直接驱动机电式计度器 或与微控制器 (MCU) 接口 引脚

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

钜泉 单相多功能电能计量芯片 FAQ

钜泉 单相多功能电能计量芯片 FAQ 钜泉电能计量芯片选型指南 V1.3 http://www.hitrendtech.com Page1 of 51 Rev1.3 版本号 修改时间 修改内容 V0.1 2010-07-18 初始版本 V0.2 2011-10-08 新增 ATT7022E ATT7026E 内容 ; 更详细地归类三相各型号产品的功能特点 V0.3 2011-11-11 1. 全面修改三相计量部分 ; 2. 全面修改单相计量部分

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

BL0921_datasheet_C_070328

BL0921_datasheet_C_070328 特点 BL091 概述 高精度, 在输入动态工作范围 (500:1) 内, 非线性测量误差小于 0.1% 校表过程中高稳定性, 输出频率波动小于 0.1% 精确测量正 负两个方向的有功功率, 且以同一方向计算电能 有两个电流采样端, 分别采样火线和零线电流, 取两个电流采样端中的较大值作为计量, 即使在错误用电时 电流通道增益可变, 在电流通道输入端可以使用小的分流电阻 慢速输出脉冲能直接驱动电机工作,

More information

RA0802 简化版规格书

RA0802 简化版规格书 锐能微电测芯片选型指南 1.1 日期 : 2014-4-3 1.2 日期 : 2014-9-10 深圳市锐能微科技有限公司第 1 页版本 1.2 版本更新说明 版本号 修改时间 修改内容 1.0 2014-3-10 创建 1.1 2014-4-3 1 增加 3.2 章 RN8207C 产品说明 ;2 增加 2.5 节 RN7302 典型应用 3 修订 3.1.5 节典型应用 1.2 2014-9-10

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

BL0937

BL0937 版本信息 更改时间 更改人 内容 2016-06-06 HCJ V1.0: 对外发布版本 ; 2016-11-24 HCJ V1.01: 修订电压有效值输出脉冲计算公式描述 2017-07-14 HCJ V1.02: 增加 PCB 设计注意事项 BL0937 应用指南 目录 版本信息... 1 芯片功能特点 :... 1 芯片管脚说明 :... 1 关于校准... 3 关于电能计量 :... 4 BL0937

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

BL6511_datasheet_C_060808

BL6511_datasheet_C_060808 特点 BL6511 概述 高精度, 在输入动态工作范围 (500:1) 内, 非线性测量误差小于 0.1% 校表过程中高稳定性, 输出频率波动小于 0.1% 输入信号频率变化 (45Hz~65Hz) 引起的测量误差小于 0.1% 单电源工作 (5V), 静态功耗 35mW( 典型值, 采用 3.56MHz 晶振 ), 可以采用阻容分压电源方案供电 可以选择代数求和或绝对值求和两种方式来计量三相平均功率和

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

A$1.3600 / US$ DKr6.4500 / US$ - 12 n - 12 n 1.4310-1.4505 12 1.4505 6 1.4505-1.4310 16 1.4310 6 1.4395-1.4505 12 1.4505 3 S1(b / a) + Pb 1 S0(b / a) 1 + Pa S0(b / a) + Pa 1 S1(b / a) 1 + Pb S1(b/

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Visio-Default configuration and primary diagram_cn.vsd

Visio-Default configuration and primary diagram_cn.vsd 7VU68xxxxx0 Ux_L 760 > 闭锁快切 786 > 手动复归快切 I T_L VT_L J Ix_L N/ 767 > 进线 事故起快切 类 7667 > 进线 事故起快切 类 0 进线 事故起动信号 类进线 事故起动信号 类 Q N/ N/ N/ N/ 7948 快切成功 7949 快切失败 O O R 80 快切充电完成 O VT_ Ua_ Ub_ Uc_ O4 O5 opyright

More information

BL0962_datasheet_C_060809

BL0962_datasheet_C_060809 特点 BL096 概述 高精度, 在输入动态工作范围 (500:1) 内, 非线性测量误差小于 0.1% 校表过程中高稳定性, 输出频率波动小于 0.1% 输入信号频率变化 (45Hz~65Hz) 引起的测量误差小于 0.1% 采用独创的 SLiM 低功耗设计技术 单电源工作 (5V), 静态功耗 35mW( 典型值 ),( 外部采用 3.56MHz 晶振 ) 慢速输出脉冲 (F1,F) 能直接驱动电机工作,

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

untitled

untitled 2007 12 1 2 SIRIUS 3 4 5 6 2 2/2 3SB3 2/4 3SB3 2/5 3SB3 2/5 2/7 2/10 2/11 2/13 3SB3 2/14 3SB3 2/15 3SB3 2/17 3SB37 SIRIUS 3SB3 3SB3 (/) (/) (/) () Ø22mm (/) (/) (/) () 23 RONIS (/) (SB30) () 23 OMR (/)

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63>

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63> 电 动 自 行 车 用 仪 表 标 准 编 制 说 明 ( 征 求 意 见 稿 ) 一 任 务 来 源 和 制 定 过 程 本 标 准 制 订 项 目 由 工 业 和 信 息 化 部 下 达 项 目 编 号 2013-0061T-QB, 项 目 名 称 电 动 自 行 车 用 仪 表 电 动 自 行 车 是 节 能 环 保 的 绿 色 交 通 工 具, 是 适 合 国 情 的 个 人 短 途 交 通

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

DT A B C D X PU PS PG! PU LV

DT A B C D X PU PS PG! PU LV DT A B C D X 2 1 3 6 24 2004 10 http://www.siemens.com/automation/mall PU PS PG! PU LV 1 2006 SIRIUS SIMOCODE 3UF 2 2 3 4 SIMOCODE 3UF 7 13 15 16 22 26 27 SIMOCODE 3UF SIMOCODE pro PLC SIMOCODE pro PROFIBUS

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

QIANZHENYU 2

QIANZHENYU 2 2009-8-7 QIANZHENYU 1 . 1.1 2001 2001 12 3 2009-8-7 QIANZHENYU 2 9 19 132 19 2009-8-7 QIANZHENYU 3 1.2 GB/T4765-1995 2009-8-7 QIANZHENYU 4 1.3 2009-8-7 QIANZHENYU 5 . 2.1 Electromagnetic Environment 2.2

More information

MCCB EMI EMI

MCCB EMI EMI HD5L HD5L HD5L 4008-858 -959 189 4871 3800 MCCB EMI EMI ... 1 1.1... 1 1.2... 1 1.3... 2... 3 2.1... 3 2.2... 3 2.3... 4 2.4... 5 2.5... 6... 9 3.1... 9 3.2... 9 3.3... 10 3.4... 10 3.5... 12 3.6... 13...

More information

6523D

6523D 版本更新说明 : 版本号修改时间修改内容修改人 V1.0 013/07/4 创建 HCJ V1.01 014/08/05 去除 C 版的相关描述 HCJ 修改 MASK 寄存器 HCJ 1/30 D66 v1.01 BL656B BL656B 单相多功能电能计量芯片 特点 高精度, 在输入动态工作范围 (5000:1) 内, 非线性测量误差小于 0.1% 高稳定性, 输出频率波动小于 0.1% 芯片可精确测量正负两个方向的有功功率,

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

通 讯 程 序 简 介

通 讯 程 序 简 介 ZW3432B 盘装仪表 Modbus_RTU 通讯规约 (Ver C2.01) 青岛青智仪器有限公司 注意 在使用本规约之前, 请认真阅读仪表使用说明书, 熟悉设备, 连接好设备后, 如果仪表带有通讯接口, 请事先用仪表随机光盘中所带的通讯测试软件进行通讯测试 ( 请注意通讯测试软件的规约版本, 版本不一致, 会造成部分数据通讯不成功 ), 在通讯测试成功之后再使用本规约 含有本规约的仪表可以直接与带有

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

GMC G系列表价_FY1516_0314.xlsx

GMC G系列表价_FY1516_0314.xlsx SINAMICS G0 变频器 kw 额定输出电流 A 1AC 200-20V 版本 Version 模拟量 0.12 0.9 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.25 1.7 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.7 2. USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量.2

More information

untitled

untitled CMMP-AS q/w : : : Festo 80% Festo q24 Festo 13 2200 w 5 4 6x10 12 CMMP-AS USB, Ethernet CANopen CE EN ( 6) (EnDat/HIPERFACE) S 255 I/O 16 I/O CAMC-D-8E8A 18 I/O (STO) 1 (SS1) (SBC) (SOS) 2 (SS2) (SLS)

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

6523D

6523D BL6523B BL6523B 单相多功能电能计量芯片特点概述 高精度, 在输入动态工作范围 (5000:1) 内, 非线性测量误差小于 0.1% BL6523B 是一颗宽量程单相多功能电子电能计量芯片, 适用于简单单相多功能或单相电力线载 高稳定性, 输出频率波动小于 0.1% 波电能表应用, 具有较高的性价比 芯片可精确测量正负两个方向的有功功率, 输出快速输出脉冲 (CF) BL6523B 集成了

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

ITU-R P

ITU-R P ITU-R BT.61-6 建 议 书 1 ITU-R BT.61-6 建 议 书 标 准 4 3 和 宽 屏 16 9 显 示 宽 高 比 演 播 室 数 字 电 视 编 码 参 数 (ITU-R 1/6 号 研 究 课 题 ) (1982-1986-199-1992-1994-1995-27 年 ) 范 围 本 建 议 书 还 包 括 表 示 525 行 或 625 行 隔 行 扫 描 数 字

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

80 A( Switchgear for Circuit-breakers up to 80 A Load Feeders (Motor protection circuit-breakers) 1 Contactors, Contactor combinations 2 Overload relays 3 Solid-state time relays 4 Contactor relays 5 SIKOSTART

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information