目 录 1. 简介 开发板介绍 功能选择和扩展接口 入门指南 其他资源 缩略词 安装及测试 软件安装 测试操作 运行测试程序

Size: px
Start display at page:

Download "目 录 1. 简介 开发板介绍 功能选择和扩展接口 入门指南 其他资源 缩略词 安装及测试 软件安装 测试操作 运行测试程序"

Transcription

1 HC32F146 系列 STK 套件用户手册 适用产品 本文档适用于以下产品 : 系列 HC32F146 系列 型号 HC32F146KATA-LQFP64

2 目 录 1. 简介 开发板介绍 功能选择和扩展接口 入门指南 其他资源 缩略词 安装及测试 软件安装 测试操作 运行测试程序 测试程序说明 硬件电路 系统框图 硬件特征 CM0+ 系列 MCU CMSIS-DAP SWD 接口 USB 接口 GPIO 接口 软件开发 开发环境 通过 IAR 打开 example 样例工程 Template 程序烧写 IDE 烧写 ISP 烧写 异常处理 附录 原理图 版本信息 STK 套件用户手册 Page 2 of 25

3 1. 简介 本章主要介绍 HC32F146 系列 Starter Kit(STK) 开发套件, 该套件基于 HC32F146 系列芯片, 具有高性能 低成本 低功耗 接口齐全等特点 HC32F146 系列芯片采用 ARM Cortex-M0+ 内核设计的 32 位微控制器, 最大主频 48MHZ 最大 FLASH 128Kbytes 最大 SRAM 8Kbytes, 支持 LCD 控制器 12 位 ADC 转换器 3 通道 UART I2C SPI 多功能通信 4 路复合定时器 两路模拟电压比较器 支持 PLL 支持 2.7V~5.5V 供电等, 适用于通用嵌入式控制和消费电子市场 HC32F146 系列 Starter Kit 集成了 CMSIS DAP 调试模块, 免去开发者购买外部调试器的精力和费用, 并支持 SWD 接口, 同时提供 HC32F146 系列芯片标准固件库及 MCU 外设资源使用样例, 开发者可以快速掌握开发方式进行产品开发 STK 套件用户手册 Page 3 of 25

4 1.1 开发板介绍 图 1-1 开发板资源介绍 1. 5V 3.3V 电源接口 (CN2) V 稳压芯片 2. ADC 采样输入接口 (CN3) 11. HC32F146 系列 MCU 电源跳帽 (J4) 3. HC32F146 系列 MCU 工作模式选择 (J3) 12. CMSIS DAP 调试模块工作模式选择 (J1) 4. 温度传感器 13. J-Link SWD 调试接口 5. 用户按键 (SW1) 14. CMSIS DAP 调试模块 USB 接口 (CN1) 6. EEPROM 15. HC32F146 系列 MCU 7. LED3 16. 扩展功能接口 (CN5 CN6) 8. 复位按键 (SW2) 17. LCD 显示屏 9. 有源蜂鸣器 图 1-2 开发板资源介绍 STK 套件用户手册 Page 4 of 25

5 1.2 功能选择和扩展接口 表 1-1 开发板跳线介绍 标号功能设置默认 J1 设置 CMSIS DAP 调试模块工作模式 断开 : 运行模式短接 : 串行通信模式 断开 J3 设置 HC32F146 系列芯片工作模式 短接 : 串行通信模式 断开 J4 设置 HC32F146 系列芯片电源功能 断开 : 断开 HC32F146 系列芯片电源短接 : 接通 HC32F146 系列芯片电源 短接 JP1 选择 HC32F146 系列芯片 5V 供电电源 短接 : 芯片主电源为 5V 供电断开 : 选择其它供电 断开 JP3 选择 HC32F146 系列芯片 3.3V 供电电源 短接 : 芯片主电源为 3.3V 供电断开 : 选择其它供电 短接 表 1-2 开发板接口介绍 编号 标号 说明 1 CN1 CMSIS DAP 调试模块 USB 接口 2 CN2 5V 3.3V 电源接口 3 CN3 ADC 采样输入接口 4 CN4 J-Link SWD 调试接口 5 CN5/CN6 扩展功能接口 1.3 入门指南 本手册将帮助你快速上手使用 HC32F146 系列 Starter Kit: 安装及测试章节描述软件安装及使用测试程序对开发套件进行测试操作 硬件电路章节描述了 HC32F146 系列 Starter Kit 的主要特性和功能, 如 CMSIS DAP 调试模块 LCD 显示屏 EEPROM 温度传感器等 软件开发章节描述了在 IAR Embedded Workbench 开发环境如何打开并运行一个样例工程, 及如何下载调试程序 附录提供 HC32F146 系列 Starter Kit 的部分参考电路及参考文献 1.4 其他资源 华大半导体为你的产品设计提供了丰富的数据, 帮助你选择合适的 MCU 并帮助你快速有效地将芯片集成到你的产品设计中 MCU 下载链接,HC32F146 系列 Starter Kit 相关资料请参考以下文档 : User Guide: HC32F146 系列 Starter Kit 用户手册 Device Selector: MCU 选型手册 STK 套件用户手册 Page 5 of 25

6 Datasheets: HC32F146 系列数据手册 Reference Manuals: HC32F146 系列参考手册 1.5 缩略词 缩略语 表 1-3 缩略语介绍 说明 FLASH SRAM LCD ADC UART SPI IIC CMSIS DAP SWD EEPROM LED USB MCU ISP CM0+ Flash 闪存是非易失性存储器, 可以对称为块的存储器单元块进行擦写和再编程 静态随机存取存储器 它是一种具有静止存取功能的内存, 不需要刷新电路即能保存它内部存储的数据 液晶显示器 模拟 / 数字转换器 是指将连续变化的模拟信号转换为离散的数字信号的器件 通用异步收发传输器, 是一种异步收发传输器 串行外设接口, 是一种高速的, 全双工, 同步的通信总线 集成电路总线, 是一种简单 双向 二线制 同步串行总线 调试端口 串行调试 电可擦可编程只读存储器, 一种掉电后数据不丢失的存储芯片 发光二极管 通用串行总线 微控制单元, 又称单片微型计算机或者单片机 在线系统编程 Cortex-M0+ STK 套件用户手册 Page 6 of 25

7 2. 安装及测试 本章描述 HC32F146 系列 Starter Kit 软件及驱动安装 安装完成后, 用户可以通过设备上的测 试代码进行设备操作的熟悉 2.1 软件安装 按照以下步骤安装 HC32F146 系列 Starter Kit 软件 : 从网站 下载 HC32F146 系列 Starter Kit 安装程序, 这个安装包包含了设备相关的文件, 包括文档 硬件 固件 软件工具和驱动程序等 2.2 测试操作 HC32F146 系列 Starter Kit 下载一个测试样例程序, 这有助于测试所有板载功能 2.3 运行测试程序 1. J1 J3 跳线断开,J4 跳线短接,JP3 短接 ; 然后将 USB 线一端连接到电脑上, 一端连接到 CN1 上, 连接后如果电源指示灯 LED4 亮, 表示电源功能正常 2. 电源功能正常, 则从开始菜单启动串口调试助手 : 桌面 -> 双击软件 putty.exe 3. 在 putty 串口软件启动后, 在 Session->Connection type 选项中, 选择 Serial 图 2-1 打开 putty STK 套件用户手册 Page 7 of 25

8 4. 在软件界面下 Connection->SSH->Serial 中配置串口端口号 ( 可以在设备管理器端口中看到对 应的串口号 ), 这里是端口 8, 波特率 , 数据位 8 停止位 1, 无奇偶校验, 点击 Open 打开串口 图 2-2 设置串口参数 5. 点击键盘上的 Enter 按键运行测试程序, 按键输入测试项对应的编号并点击 Enter 按键来运 行对应功能 图 2-3 测试程序 STK 套件用户手册 Page 8 of 25

9 2.3.1 测试程序说明 UART 测试 : 这个过程将测试 CMSIS DAP 和 HC32F146 系列 MCU 之间的 UART 通信功能 按键输入 0 后再输入 Enter, 最后方括号中显 OK 子时钟测试 : 这个过程测试 MCU 子时钟 KHz 是否正常震荡 按键输入 1 后再输入 Enter, 程序将检测子时钟单元状态并返回, 最后方括号中显 OK LED 测试 : 这个过程测试 LED 按键输入 2 后再输入 Enter,LED3 将循环显示 亮 -> 灭 -> 亮 的过程, 按 Enter 结束测试, 最后方括号中显示 Tested 按键测试 : 这个过程测试外部按键功能 按键输入 3 后再输入 Enter, 根据返回的提示点击板载 USER 按键, 最后方括号中显示 OK 蜂鸣器测试 : 这个过程测试蜂鸣器功能 按键输入 4 后再输入 Enter, 蜂鸣器将持续发出 滴滴 的报警声, 按 Enter 结束测试, 最后方括号中显示 Tested 温度传感器测试 : 这个过程测试温度传感器功能 按键输入 5 后再输入 Enter, 串口对当前温度进行输出, 用手接触 U5 会产生温度变化, 按 Enter 结束测试, 最后方括号中显示 Tested 图 2-4 温度测试程序 STK 套件用户手册 Page 9 of 25

10 EEPROM 测试 : 这个过程测试 EEPROM 存储功能 按键输入 6 后再输入 Enter, 程序将 EEPROM 进行两个页的读写数据对比操作, 最后方括号中显 OK LCD 测试 : 按键输入 7 后再输入 Enter,LCD 显示当前温度, 按 Enter 结束测试, 最后方括号中显示 Tested 图 2-5 LCD 测试程序 STK 套件用户手册 Page 10 of 25

11 3. 硬件电路 本章主要介绍 HC32F146 系列 STK 硬件特性 本开发套件硬件电路主要包括 HC32F146X MCU 最小系统 CMSIS DAP 调试模块及其他基本外设电路 可通过外部 USB 进行供电, 由 SPX1117 稳压输出 3V3 和一个 USB 供电 5V 提供 MCU 等所需电压, 用户可根据需要选择合适的供电电源 ;MCU 外部接 4M 和 K 两颗晶振, 分别提供外部高速和外部低速时钟源 3.1 系统框图 本 STK 开发板系统框图如下 : 电源模块 HC32F146 系列 MCU 最小系统 LED 外设电路 蜂鸣器 按键 温度传感器 CMSIS DAP 调试模块 LCD 液晶 EEPROM 图 3-1 系统框图 STK 套件用户手册 Page 11 of 25

12 3.2 硬件特征 本章主要介绍 HC32F146 系列 Starter Kit 硬件特性详情 CM0+ 系列 MCU HC32F146 系列 Starter Kit 搭载超低功耗 高集成度 32 位 ARM Cortex -M0+ 内核的 HC32F146 系列的 MCU HC32F146KATA 是华大 HC32F146 系列芯片下的一款 MCU, 最大时钟频率 48MHz, 具有最大 128KB FLASH 最大 8KB SRAM 1 个不可屏蔽中断和 32 个可设置 4 个优先级的外部中断 芯片外设资源丰富, 如 LCD 控制器 多功能串口通信接口 (UART SPI I2C) 12 位 A/D 转换器 GPIO VC OPA 等 CMSIS-DAP HC32F146 系列 Starter Kit 搭载 CMSIS-DAP 调试模块以实现 CM0+ HC32F146 系列 MCU 的编程调试功能 CMSIS-DAP 固件支持 Serial Wire Debug(SWD) 接口 同时,CMSIS-DAP 模块也可通过 CN4 插排接口连接 ( 需将 J3 跳线帽短接 ) 图 3-2 CMSIS-DAP 电路 STK 套件用户手册 Page 12 of 25

13 3.2.3 SWD 接口 HC32F146 系列 Starter Kit 提供 SWD 接口 CN4 以连接外部编程器 CN4 是标准 ARM 2.54mm 5-pin 接口 USB 接口 图 pin SWD 接口 HC32F146 系列 Starter Kit 可通过 USB 接口进行供电,USB 可通过一个 micro USB 连接到 CN1 口 GPIO 接口 HC32F146 系列 Starter Kit 通过外部 GPIO 口控制一个 LED USER BUTTON 和 BUZZER 该 USER BUTTON 和 LED 通过表格 3-1 中的引脚连接到 MCU 表 3-1 GPIO 接口功能表 编号 针脚功能 连接设备 22 P23/INT06_1/COM5/SEG38/SIN4_1/TIOB2_1 BUZZER 23 P24/INT07_1/COM4/SEG39/CTS4_1/TIOA2_1 LED3 51 P62/INT12_1/SEG16/SCS50_1/TIOB0_2 USER BUTTON STK 套件用户手册 Page 13 of 25

14 4. 软件开发 本章主要介绍 HC32F146 系列 STK 软件开发环境 通过介绍软件环境和软件工程的设置帮助开 发者快速上手开发套件, 从而进一步学习相关的知识 4.1 开发环境 华大 CM0+ HC32F146 系列芯片支持通过第三方 Tools/IDE 进行开发, 用户可根据自己偏好选择相应的开发工具 本章以 IAR 为例进行软件开发介绍, 随开发板配套样例代码均通过 IAR 和 Keil 编译 支持的 IDE: IAR Embedded Workbench for ARM Keil ARM MDK Microcontroller Development System 用户可通过以上公司官网下载开发环境, 安装运行软件过程可能需要 License 许可 关于软件使用详情可通过软件功能下的 Help 中查看相应文档 通过 IAR 打开 example 以下步骤描述了如何在 IAR IDE 环境下打开 编译 运行 example 项目 正确安装 IAR 软件后 可成功打开 template.eww 工程 1. 运行 IAR Embeded Workbench IDE V7.70( 或更高版本 ) 2. 点击 File>Open>Workspace 选择工程 template.eww 所在目录 3. 点击 Project>Rebuild All 编译工程 图 4-1 IAR 打开工程 STK 套件用户手册 Page 14 of 25

15 4. 确保 HC32F146 系列 STK 开发板跳线按照表格 4-1 连接 表 4-1 调试跳线设置 编号 默认状态 功能 J1 断开 CMSIS-DAP 运行模式 J4 连接 USB 向 MCU 供电 Jp3 短接 3.3V 供电模式 J3 断开 MCU 运行模式 5. 连接 USB 到 CN1 口, 观察电源指示灯 LED4( 绿灯 ) 是否点亮 6. 点击工具栏中的 Debug, 选择 Project>Download and Debug 开始下载和调试 7. 点击 Run 图标调试下载程序 8. 点击 Stop 图标停止程序 图 4-2 工程编译选项表 4-2 工程编译选项说明 标号 功能 描述 1 Compile/Make 编译 / 链接 2 Stop 停止 3 Debug and Download 调试下载 9. 查看有关 IAR Embedded Workbench IDE 信息, 请点击 Help 4.2 样例工程 HC32F146 系列 Starter Kit 提供了 1 个样例工程以帮助开发者快速熟悉使用本 STK 下表中的样 例代码是基于 Device Driver Library(DDL) 编写的 该 DDL 提供了 APIs 供用户初始化并操作外 设 表 4-3 样例工程介绍 编号工程描述 1 template 描述 : 该工程集成了芯片 AD 采集 LCD 显示 按键 蜂鸣器 UART I2C 功能, 通过串口菜单进行选择, 运行对应的功能模块 STK 套件用户手册 Page 15 of 25

16 4.2.1 Template 下面以 template 为例介绍 DDL 工程 : 工程描述该工程介绍了 CM0+ HC32F146 芯片的外设功能, 可通过串口输出菜单进行选择, 运行相应的功能 硬件连接不需要额外的硬件连接, 该工程所需所有硬件均已焊接在 STK 开发板上 验证结果 1. 将 HC32F146 系列 Starter Kit 开发板通过 CN1 USB 口上电 2. 在 IAR Embedded Workbench 或 Keil uvision IDE 环境中打开工程 3. Build 该工程, 然后下载工程到目标开发 4. 运行程序 5. 打开 putty 工具, 设置波特率 , 点击 Open 按钮连接开发板和 PC 图 4-3 设置波特率连接 STK 套件用户手册 Page 16 of 25

17 6. 通过键盘在输出窗口键入任意字符, 输入窗口将显示同样的字符, 回车便会运行相应的功 能模块 图 4-4 echo 测试 STK 套件用户手册 Page 17 of 25

18 4.3 程序烧写 本章节将介绍如何通过 IDE 和 ISP 两种模式进行程序烧写 IDE 烧写 本系列芯片支持 DAP 和 JLINK 两种烧写方式, 根据仿真器芯片下载固件不同, 开发者应自行选择对应的程序下载方式, 下面以 CMSIS-DAP 为例介绍程序下载方法 1. 右键工程文件 -> Option, 在 General Option 里选择 Cortex-M0+ 内核 ; 图 4-5 选择内核 2. 在 Debugger->Setup 里选择 Driver 方式为 CMSIS-DAP 图 4-6 选择固件驱动 STK 套件用户手册 Page 18 of 25

19 3. 在 CMSIS DAP ->Interface 里选择接口方式为 SWD: 图 4-7 选择调试接口 4. 设置好工程选项后, 点击 OK, 依次点击 Compile Make 编译链接, 编译通过后提示 无 Error 图 4-8 编译信息 5. 点击 Debug and Download 调试下载程序,LED2 闪烁, 等待程序下载完成 ISP 烧写 1. 打开 HC32F146 系列 Starter Kit 程序下载软件 HDSC MCU Programmer 2. 确认 J1 跳线断开,J4 J3 跳线短接 ; 然后将 USB 线一端连接到电脑上, 一端连接到 CN1 上, 连接后如果电源指示灯 LED4 亮, 表示电源功能正常 3. 电源功能正常, 则从控制面板查看 HC32F146 系列 Starter Kit 对应的 COM 端口号 : 控制面板 -> 系统和安全 -> 系统 -> 设备管理器 -> 图 4-9 查看端口号 STK 套件用户手册 Page 19 of 25

20 4. 启动 HDSC MCU Programmer 软件 : 图 4-10 HDSC MCU Programmer 软件 表 4-4 HDSC MCU Programmer 软件参数说明 项目 子项目 功能 说明 目标 MCU 选择目标板使用的 MCU 型号 必选 晶振频率 选择目标板使用的晶振频率 必选 MCU 设置 Hex 文件选择需要下载到目标板的 hex 文件必选 端口设置选择目标板连接到 PC 对应的 COM 端口必选 连接 擦除 配置好上述文件后, 单击连接, 连接成功方可使用 操作 项目下相关操作选择左侧的 芯片擦除 或 页擦除, 再点击擦除操作, 芯片已有程序再次进行下载前必须执行 芯片擦除 操作 必选 必选 空白检查检测芯片 FLASH 是否有数据可选 编程将 Hex 文件下载到目标板的 FLASH 中必选 操作 验证验证芯片 FLASH 数据是否合法可选 执行 用于执行多个操作, 如左侧的 擦除 编程 加密 空白检查 验证 等多种组合功能 可选 上传用于读取芯片的 FLASH 中的数据可选 校验和用于校验 FLASH 中的文件是否合法可选 STK 套件用户手册 Page 20 of 25

21 5. 根据目标板的实际情况选择 : 目标 MCU 晶振频率 Hex 文件 端口设置四项参数 : 图 4-11 参数配置 6. 然后点击 连接, 连接成功后会弹出消息提示 连接成功 ; 再执行 芯片擦除, 擦除成 功后会提示 芯片擦除成功, 最后执行 编程, 执行成功后会提示 编程成功, 至此, 程序烧写成功 图 4-12 烧写成功 7. 断电, 取下 J3 的跳线帽, 再次上电, 下载的程序就能正常运行 STK 套件用户手册 Page 21 of 25

22 5. 异常处理 本章将介绍使用开发板过程中的常见异常处理 表 5-1 常见异常处理表 编号 异常描述 处理方法 1 USB 连接后, 提示不能识别到设备 检查驱动安装 2 不能测试串口通信程序 检查 COM 端口号及波特率设置 3 不能通过 ISP 下载程序 检查 ISP 设置 4 不能通过 IDE 下载程序 检查 IDE 设置 STK 套件用户手册 Page 22 of 25

23 6. 附录 6.1 原理图 图 6-1 DAP 原理图 图 6-2 MCU 原理图 STK 套件用户手册 Page 23 of 25

24 图 6-3 POWER 原理图 STK 套件用户手册 Page 24 of 25

25 7. 版本信息 日期版本修改记录 Rev1.0 HC32F146 系列 STK 套件用户手册初版发布 如果您在购买与使用过程中有任何意见或建议, 请随时与我们联系 网址 : 通信地址 : 上海市张江高科园区碧波路 572 弄 39 号邮编 : STK 套件用户手册 Page 25 of 25

目录. 简介.... 开发板介绍.... 功能选择和扩展接口 入门指南 其他资源 缩略词 安装及测试 软件安装 测试操作 运行测试程序 测试程序说明 硬件电路.... 系统框图....

目录. 简介.... 开发板介绍.... 功能选择和扩展接口 入门指南 其他资源 缩略词 安装及测试 软件安装 测试操作 运行测试程序 测试程序说明 硬件电路.... 系统框图.... HCL5 系列 STK 套件用户手册 适用产品 本文档适用于以下产品 : 系列 型号 HCL5 Series Starter Kit(STK) 系列 STK 开发套件 目录. 简介.... 开发板介绍.... 功能选择和扩展接口... 5. 入门指南... 5. 其他资源... 5.5 缩略词... 6. 安装及测试... 7. 软件安装... 7. 测试操作... 7.. 运行测试程序... 7..

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

目 录 1. 简介 概览 连接概述 软件运行概述 快速操作 硬件连接 软件操作 操作描述 MCU 设置 MCU Flash 信息

目 录 1. 简介 概览 连接概述 软件运行概述 快速操作 硬件连接 软件操作 操作描述 MCU 设置 MCU Flash 信息 Cortex-M 在线编程器用户手册 适用产品 本产品支持芯片型号如下 系列型号系列型号 HC32L150KATA HC32L150K8TA HC32M140F8TA HC32L150JATA HC32M140J8TA HC32M140 HC32L150J8TA HC32M140J8UA HC32L150FAUA HC32M140KATA HC32L150F8UA HC32L156KATA HC32L15

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63>

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63> 下面介绍 U-Link J-Link 和 ISP 下载 STM32 程序的配置方法, 以 MDK3.24 为例, 也 可以使用 MDK3.80 或者更高版本的 MDK, 过程类似 此文档仅供学习和交流使用 STM32 程序 U-Link 下载说明 (1)ULink 下载工具如图 1 所示 图 1 ULink 下载工具 (2) 双击 MDK 安装文件图标进行安装, 在后续出现的窗口中依次点击 Next

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

Microsoft Word - EK-LM3S8962_EvalBoard_UM部分翻译.doc

Microsoft Word - EK-LM3S8962_EvalBoard_UM部分翻译.doc Debugging Stellaris microcontrollers support programming and debugging using either JTAG or SWD. JTAG uses the signals TCK, TMS, TDI, and TDO. SWD requires fewer signals (SWCLK, SWDIO, and, optionally,

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机,

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, LINUX 操作系统 三 实验内容 1. 学习 x-loader 作用和编译过程 2. 学习 uboot 作用和编译过程 3. 学习 Boot Loader 的操作 四 Bootloader 程序说明

More information

(Microsoft Word - FSS MB9BF618S \306\300\271\300\260\345\323\303\273\247\312\326\262\341)

(Microsoft Word - FSS MB9BF618S \306\300\271\300\260\345\323\303\273\247\312\326\262\341) MCU-AN-510043-C-10 FM3 32- 位微处理器 MB9B610 系列 TM ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other countries. FSS MB9BF618S 评估板用户手册 应用指南 关于 FM3 微处理器的相关资料, 可访问如下网址 : HUhttp://www.fujitsu.com/global/services/microelectronics/product/micom/roadmap

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Application Note AN01010101 V1.00 Date: 2011/08/02 类别 关键词 摘要 内容 EFM32 KEIL ARM MDK IAR J-Link 阐述 EFM32 开发环境的搭建步骤 北高智科技有限公司 修订历史 版本日期原因 V1.00 2011/08/02 创建文档 i 目录 1. 概述...1 2. 硬件平台...1 2.1 硬件开发平台...1 2.2

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界

Open103Z 示例程序 ( 基于 HAL 库 ) 说明 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界 Open103Z 示例程序 ( 基于 HAL 库 ) 说明 程序说明 关于 STM32CubeMx 通过 STM32CubeMX 生成的基于 HAL 库的程序源代码, 相较于经典库的代码而言, 更加合理和清晰 STM32CubeMX 配置界面是图形化的, 参数设置相比于直接修改源代码更为直观 但是, 用户对所需资源进行配置之后, 经由 STM32CubeMX 生成的代码并不是直接可用, 还需要在 /*

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

1abcd.doc

1abcd.doc 988 K VOL MD MACD Legend PALM365 FM365 1....1... 1... 2... 3... 4... 5 2....7... 7... 7... 7... 8...8...8... 9...10...12 3....15 PALM 365...15... 16 PALM365...19... 19... 22... 22... 23 PALM 365...25...

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w Windows Windows XP Microsoft Windows XP Professional Operating System Windows Windows 7 Microsoft Windows 7 Professional Operating System Windows Windows 8 Microsoft Windows

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w WindowsWindows 7 Microsoft Windows 7 Professional Operating System WindowsWindows 8.1 Microsoft Windows 8.1 Pro Operating System WindowsWindows 10 Microsoft Windows 10

More information

幻灯片 1

幻灯片 1 Startup Kit for Freescale Kinetis KL46 & KE02 from WPI Session ID : FTF-SDS-F0608 Room : Madrid 6a 2014 /05/20 (Tuesday) 15:00 16:00 Agenda 1. Freescale Kinetis Series MCUs 2. ATU Projects 3. Freescale

More information

W5500-EVB 用户手册

W5500-EVB 用户手册 W5500-EVB 用户手册 V1.01 Copyright 2013 WIZnet Co., Inc. All rights reserved 更多内容请参考 :http://wizwiki.net/ 文档历史信息 版本 时间 描述 V1.0 2013-10-08 与 W5500-EVB 发布 V1.01 2014-01-14 调整格式 ; Copyright 2013 WIZnet Co., Inc.

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500017-Z-12 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 如何在目标板上编程 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0. 2009-2-10 Benjamin. Yang 初稿 1.1. 2009-2-11 Benjamin. Yang 修改 1.2 2010-1-11 Ivan. Xiao

More information

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc)

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc) 一 基 本 資 料 附 件 2 1. 參 賽 者 姓 名 : 方 慈 惠 2. 參 賽 者 ( 服 務 ) 單 位 : 國 立 台 南 女 子 高 級 中 學 3. 參 賽 者 ( 服 務 單 位 ) 地 址 : 台 南 市 大 埔 街 97 號 4. 聯 絡 電 話 : 06-2154626;06-2154608;0929082918 5. 設 計 理 念 簡 介 : 射 箭 運 動 列 入 國

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx XMC4500 2012 XMC4000 6 11 6 13 6 15 6 18 6 20 6 22 XMC4000 IDE, C-Compilers, Debuggers, Analysis Utilities HW Debuggers Flash Programming Altium Atollic Keil IAR Systems Wind River Hitex PLS isystems Lauterbach

More information

並 責 成 各 里 幹 事 下 里 服 勤 宣 導 病 媒 防 治 知 識, 協 助 各 家 戶 清 除 病 媒 孳 生 源 ( 積 水 容 器 ), 降 低 棲 群 密 度, 預 防 傳 染 病 之 發 生, 以 確 保 民 眾 身 體 健 康 及 居 家 生 活 品 質 訂 定 每 月 最 後

並 責 成 各 里 幹 事 下 里 服 勤 宣 導 病 媒 防 治 知 識, 協 助 各 家 戶 清 除 病 媒 孳 生 源 ( 積 水 容 器 ), 降 低 棲 群 密 度, 預 防 傳 染 病 之 發 生, 以 確 保 民 眾 身 體 健 康 及 居 家 生 活 品 質 訂 定 每 月 最 後 541 94.4.6 臺 北 市 文 山 區 都 市 計 畫 案 通 盤 檢 討 主 要 計 畫 暨 細 部 計 畫 案 542 94.5.5 都 市 計 畫 道 路 用 地 變 更 為 可 發 展 用 地 免 予 回 饋 原 則 附 件 三 溫 泉 產 業 特 定 專 用 區 都 市 計 畫 案 召 集 人 本 案 案 情 複 雜, 且 為 求 審 議 效 益, 委 請 陳 委 員 武 正 擔 任

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

目 录 一. 使 用 前 的 软 件 准 备 和 安 装... 3 二. 安 装 USB 驱 动... 3 三. 下 载 LD_DOWN.hex 到 STC 单 片 机... 4 四. 使 用 开 发 板 配 套 软 件 ICR_DT1.exe... 6 五. 串 口 打 印 信 息 的 接 收..

目 录 一. 使 用 前 的 软 件 准 备 和 安 装... 3 二. 安 装 USB 驱 动... 3 三. 下 载 LD_DOWN.hex 到 STC 单 片 机... 4 四. 使 用 开 发 板 配 套 软 件 ICR_DT1.exe... 6 五. 串 口 打 印 信 息 的 接 收.. B-LD3320 开 发 板 使 用 及 配 套 软 件 使 用 说 明 Update@2011 年 05 月 18 日 ICRoute 用 声 音 去 沟 通 VUI (Voice User Interface) Web : www.icroute.com Tel : 021-68546025 Mail: info@icroute.com 1 目 录 一. 使 用 前 的 软 件 准 备 和 安

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY MCU-AN-510014-E-10 FM3 32- 位微处理器 MB9B500 系列 TM ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other countries. FSS MB9BF506R 评估板用户手册 应用指南 关于 FM3 微处理器的相关资料, 可访问如下网址 : http://www.fujitsu.com/global/services/microelectronics/product/micom/roadmap

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

FZ1.s92

FZ1.s92 第 一 章 服 装 制 作 工 艺 基 础 知 识 第 一 节 服 装 术 语 服 装 术 语 是 服 装 技 术 专 用 语, 如 服 装 的 每 一 个 品 种 服 装 上 的 每 一 块 裁 片 服 装 制 作 过 程 中 每 一 种 操 作, 以 及 所 使 用 的 工 具 服 装 制 作 过 程 中 出 现 的 各 种 弊 病 和 要 达 到 的 某 些 质 量 要 求 等, 都 有 其

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63>

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63> AVR 硬件开发工具选型指南 版本 :Rev1.0 www.mcuzone.com 2008-01 Team MCUZone http://www.mcuzone.com AVR 硬件开发工具选型指南 - 1 - Ponyprog-stk USB ASP USB STK500 AVR 200 ICE AVR Dragon AVR ISP mkii mkii lite V2 mkii 完全版 与 PC

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

untitled

untitled ATARM AT91SAM7S ARM Team Mcuzone http://www.mcuzone.com Rev1.0a 2006-11 Rev1.0a: 2006-11 QQ 8204136 13957118045 MSN hdapple_2000@hotmail.com 1 ARM 2 AT91 3 ARM KEIL,IAR,ADS,RV,WINARM 4 ARM WIGGLER,MULTI-ICE,XLINK,ULINK

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

目 录

目 录 目录 第 1 章 TKStudio IDE 简介... 1 第 2 章使用 JLink 调试... 3 2.1 配置输出文件格式... 3 2.2 配置仿真器驱动... 3 2.2.1 JLinkGDBServer 路径... 7 2.2.2 接口类型... 7 2.2.3 目标地址... 8 2.2.4 端口... 8 2.2.5 初始化命令脚本... 8 2.2.6 使用 JFlashARM 烧写...

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana Acer E 15 Graphics Driver Download >>> DOWNLOAD 1 / 5 2 / 5 Get...all...the...data...for...your...required...driver...including...models,...availability...and...OS..... Drivers...for...direct...download...are...uploaded...daily...and...rated...by...our...usersWorld'

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

行业

行业 PCI-1762 PCI-1762 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4 PCI...2 1.1.5 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1 NC/NO(/)...3 2.2.2...4 2.2.2 ID...5 2.3...5 2.3.1...5 2.3.2...7 2.4...12 2.4.1...12

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

新建 Microsoft Word 文档.doc

新建 Microsoft Word 文档.doc Damayi Bluetooth DB10Bluetooth Development Board 1.0 CPU LCD CSR BlueCore Bluetooth DB10 Bluetooth Main Board Bluetooth Inte rface Boar d MCU Interface Board CSR BlueCore CSR Bluelab SDK Damayi Bluetooth

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

嵌入式工程师考纲大纲(中级)

嵌入式工程师考纲大纲(中级) ARM9 嵌入式系统设计与开发应用 教学大纲 编写 : 熊茂华 本教材是为中国电子学会嵌入式设计工程师考试指定教材, 根据嵌入式设计工程师考试大纲 ( 中级 ) 要求, 制订了 ARM9 嵌入式系统设计与开发应用 课程的教学大纲 课程能力目标 : 通过本课程的教学, 掌握嵌入式应用系统的基本结构 嵌入式硬件接口和软件系统设计的方法 ; 利用嵌入式开发工具 ADS 1.2 开发基于 μc/os-ii

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

Kinetis SDK Release Notes

Kinetis SDK Release Notes MAPS K22 开发套件发布说明 1. 综述 MAPS 四色板系列是由飞思卡尔与南京万利电子有限公司共同开发的 MCU 开发平台, 适合于所有飞思卡尔的 MCU 产品 本开发平台采用独创的设计理念, 适合评估开发所有飞思卡尔的 MCU 产品, 具有低成本 扩展性强 易于复制等特点, 满足了用户的差异化需求, 适合于所有需要学习 应用飞思卡尔 MCU 产品的人士使用 MAPS 四色板系列开发平台采用统一接口,

More information

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor

上海华虹宏力半导体制造有限公司 - Shanghai Huahong Grace Semiconductor Certificate of Registration 质量管理体系 IATF 16949:2016 兹证明 : 中国上海中国 ( 上海 ) 自由贸易试验区哈雷路 288 号邮编 : Huahong Grace Semiconductor No. 288 Halei Road () Pilot Free Trade Zone 并运行符合 IATF 16949:2016 要求的质量管理体系, 认证范围如下

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

2 目录 1. 概述 核心板资源列表 扩展板资源列表 核心板接口 核心板按键定义 进入仿真 U 盘模式 液晶屏幕截图 扩展版接口 连接 JLINK 进行调试

2 目录 1. 概述 核心板资源列表 扩展板资源列表 核心板接口 核心板按键定义 进入仿真 U 盘模式 液晶屏幕截图 扩展版接口 连接 JLINK 进行调试 1 本手册涉及下列产品 icore 核心板 icore 扩展版 icore 双核心组合式开发板 快速入门手册 Jlink 调试器 USB 转串口工具 itool 八合一调试器 icore Packet Type Development Board Quick User Manual Revision.0 http://xiaomagee.cnblogs.com http://i-board.taobao.com

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information