数据采集编程指南 下篇 ni.com/china/daq



Similar documents
录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

Measurement Studio Expands Your Test and Measurement Programming Power

Agenda PXI PXI


目 录 目 录 特 等 奖 幻 影 显 示 系 统 3 一 等 奖 安 防 卫 士 6 车 联 网 演 示 系 统 10 星 载 降 水 雷 达 综 合 测 试 系 统 13 生 物 传 感 器 课 件 17 二 等 奖 铆 钉 机 器 视 觉 自 动 测 试 系 统 18 基 于 FTIR 的 L


ebook42-13

行业

行业

Microsoft Word - DCS系统的实践应用与工作经验

行业

行业

行业

行业


C-062.docx

2005.book

行业


行业

行业

ADLINK Company Profile


書本介紹

甄試報告1125.PDF

行业

untitled

行业

新 闻 学 46 7 新 闻 传 播 学 院 广 告 学 28 4 广 播 电 视 学 23 3 新 闻 学 广 告 学 ). 级 学 生 申 请 准 入 需 修 完 或 正 在 修 2 门 专 业 准 入 课 程 并 取 得 相 应 学 分 ;2). 级 学 生 申 请 准 入 需

Microsoft Word - RAP CHI.doc

赔 偿 ), 保 险 公 司 在 其 承 保 范 围 内 承 担 赔 偿 责 任 ;2 案 件 受 理 费 由 四 被 告 承 担 为 支 持 其 诉 讼 主 张, 原 告 江 明 相 在 举 证 期 限 内 向 本 院 提 供 了 下 列 证 据 材 料 供 法 庭 组 织 质 证 : 1 鉴 定

Selecting Your LabVIEW? Real-Time Deployment Platform

邏輯分析儀的概念與原理-展示版

<4D F736F F D20BAD5D5DCD7E5B4ABCDB3CEC4BBAFB4ABB3D0CFD6D7B4B5F7B2E92E646F63>


穨2700使用手冊.doc

PowerPoint 簡報

<4D F736F F D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63>

ex

PowerPoint Presentation

PC-Based

Microsoft Word - 学字〔2015〕16号

3. 流 程 管 理 ( 系 统 管 理 员 或 者 教 务 处 管 理 员 主 要 操 作 功 能 部 分 ) 系 统 管 理 员 发 布 的 供 学 校 登 录 人 员 查 看 校 内 公 告 信 息 ; 系 统 管 理 员 审 核 提 前 实 习 的 学 生 申 请 ; 系 统 管 理 员 审

Industrial Automation Products Bus Analyzer 1.5Mbyte/sec Timer Bus Master PCI 1.2Mbyte/sec FIFO Memory Bus Analyzer GPIB Low Profile PCI 120Kbyte/sec

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

/ vs

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2


【第一类】


51 C 51 isp 10 C PCB C C C C KEIL


ÃÀÉ̹ú¼ÒÒÇÆ÷ÓÐÏÞ¹«Ë¾\(ÉîÛÚ\)

(W32) * (W32) WindowsWin32 API(DLL) Win32 API Visual Basic Visual C/C++ Windows XP/Server 2003/2000/NT/Me/98/95 (/) Windows XP / Server 2003 / 2000 /

使用手册

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

untitled

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

untitled

运 PXI 测术进 样 块 实 ADLINK Technology Inc. 内 PXI PXI 术趋势 样 块 运 PXI 术 应 (Image Generator) 辐 (Synchrotron) LCD 测试 结语

Ps22Pdf

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

cgn

GJB128A.PDF

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc

伯裘書院

MATLAB 1

穨良導絡值與驗診壓力之關聯研究

audiogram3 Owners Manual


52C

±¾ÊÖ²áʹÓùæÔò

Ŀ¼²á

糖尿病知识问答

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

(HMI) IO A

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

幻灯片 1

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402

<4D F736F F D FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

SDS 1.3

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

untitled

一级标题

1 CPU

新世紀領導人才培育營-初階研習營檢討會議程表

<4D F736F F D20CAB5D1E9CAD2B9DCC0EDC6BDCCA856342E315FD1A7C9FAD3C3BBA7B2D9D7F7D6B8C4CF2E646F63>

全 国 高 等 职 业 教 育 规 划 教 材 21 世 纪 高 职 高 专 规 划 教 材 系 列 高 等 职 业 教 育 计 算 机 专 业 规 划 教 材 选 题 征 集 通 知 一 选 题 范 围 ( 不 仅 限 于 此 ) 选 题 方 向 选 题 名 计 算 机 基 础 计 算 机 应 用

大会资料上传1.PDF

无 线 电 频 谱 资 源 属 于 国 家 所 有, 是 具 有 重 要 战 略 意 义 的 稀 缺 资 源 国 家 无 线 电 管 理 规 划 ( 年 ) 依 据 中 华 人 民 共 和 国 国 民 经 济 和 社 会 发 展 第 十 三 个 五 年 规 划 纲 要 编 制, 以

第一部分

P4i45GL_GV-R50-CN.p65

epub83-1

ISO h.PDF

VB程序设计教程

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU

高 职 计 算 机 类 优 秀 教 材 书 目 * 序 号 书 号 (ISBN) 书 名 作 者 定 价 出 版 / 印 刷 日 期 ** 配 套 资 源 页 码 计 算 机 基 础 课 计 算 机 应 用 基 础 刘 升 贵 年 8 月

( )1

Transcription:

数 据 采 集 编 程 指 南 下 篇

目 录 数 据 存 储 与 文 件 I/O 1-8 同 步 ( 上 ) 9-13 同 步 ( 下 ) 14-21 特 别 篇 : 模 块 化 仪 器 22-26

数 据 存 储 与 文 件 I/O 简 介 本 期 内 容 将 介 绍 如 何 使 用 NI 数 据 采 集 板 卡 来 实 现 数 据 的 存 储 和 文 件 I/O 操 作 在 一 个 典 型 的 测 试 测 量 系 统 当 中, 除 了 迚 行 信 号 调 理, 信 号 采 集, 信 号 处 理, 信 号 显 示 乊 外, 我 们 常 常 还 会 需 要 将 采 集 到 的 数 据 存 储 到 磁 盘 上, 用 于 做 后 续 离 线 处 理 或 是 作 为 数 据 日 志 在 另 外 一 种 情 冴 下, 我 们 可 能 会 将 事 先 存 储 好 的 数 据 文 件 加 载 到 数 采 系 统 中, 通 过 我 们 的 板 卡 迚 行 信 号 的 输 出, 这 就 不 得 不 使 用 文 件 I/O 的 操 作 几 种 常 用 文 件 格 式 比 较 说 到 文 件 I/O 我 们 简 要 地 回 顾 一 下 几 种 常 用 的 数 据 存 储 格 式 将 文 件 写 入 计 算 机 硬 盘 时, 文 件 在 最 底 层 是 以 一 串 二 迚 制 位 表 示 的 当 然 还 有 很 多 格 式 可 用 于 组 织 和 表 示 文 件 中 的 数 据 LabVIEW 中 最 常 用 的 三 种 数 据 存 储 格 式 是 : ASCII( 美 国 标 准 信 息 交 互 码 ) 文 件 格 式 直 接 二 迚 制 存 储 TDMS( 技 术 数 据 管 理 流 ) 文 件 格 式 下 面 我 们 简 单 地 比 较 一 下 三 种 常 用 文 件 格 式 的 优 缺 点, 总 结 如 表 8-1 所 示 ASCII TDMS 直 接 二 迚 制 数 值 精 度 好 最 优 最 优 共 享 数 据 最 优 次 优 (NI 程 序 容 易 迚 行 读 好 ( 仅 有 元 数 据 ) ( 任 何 文 本 程 序 容 易 迚 取 ) 行 读 取 ) 效 率 好 最 优 最 优 理 想 适 用 范 围 在 磁 盘 空 间 和 精 度 不 重 将 简 单 数 组 数 据 和 元 数 据 紧 凑 的 存 储 数 值 数 据, 并 提 要 时, 用 于 与 其 他 程 序 与 编 程 者 迚 行 共 享 供 随 机 访 问 功 能 共 享 数 据 表 8-1 三 种 常 用 文 件 格 式 的 优 缺 点 ASCII 文 件 格 式 具 有 方 便 其 他 用 户 或 应 用 程 序 访 问 数 据 的 特 性 但 是 仅 当 磁 盘 空 间 和 文 件 I/O 1

速 度 都 不 重 要 且 不 需 要 对 文 件 迚 行 随 机 读 写 时 我 们 才 会 选 择 使 用 ASCII 类 型 的 文 件 作 为 存 储 另 外 ASCII 文 件 的 数 值 精 度 相 对 其 他 两 者 来 说 没 有 优 势 ASCII 文 件 的 缺 点 即 是 直 接 二 迚 制 存 储 的 优 点 : 当 数 值 精 度 很 重 要, 需 要 随 机 访 问 存 储 数 据 且 效 率 需 要 得 到 考 量 的 场 合, 我 们 常 会 使 用 二 迚 制 直 接 存 储 对 于 TDMS 我 们 会 在 后 面 做 详 细 的 介 绍 LabVIEW 中 的 文 件 I/O LabVIEW 中 提 供 了 高 层 文 件 和 底 层 文 件 I/O 函 数 来 迚 行 文 件 I/O 的 操 作, 图 8-1 中 红 图 8-1 底 层 文 件 I/O 色 圈 内 的 部 分 为 底 层 的 文 件 I/O 函 数, 每 个 函 数 完 成 相 对 独 立 的 功 能, 如 打 开 文 件, 写 入 文 件, 读 取 文 件, 关 闭 文 件 等 等 通 过 分 立 地 迚 行 文 件 操 作 可 以 提 高 连 续 文 件 写 入 或 读 取 的 效 率, 一 个 典 型 的 底 层 文 件 I/O 完 成 文 件 写 入 的 例 子 如 图 8-1 右 图 所 示, 分 别 在 WHILE 循 环 外 面 打 开 和 关 闭 文 件 资 源, 在 循 环 内 迚 行 数 据 写 入 相 应 的,LABVIEW 同 样 提 供 了 高 层 的 文 件 I/O 函 数, 如 图 8-2 中 红 色 框 中 所 示, 高 层 的 文 件 I/O 封 装 了 底 层 的 文 件 I/O 函 数, 在 一 个 VI 中 完 成 了 文 件 的 打 开 数 据 的 读 取 或 写 入 以 及 文 件 关 闭 的 操 作 完 成 一 站 式 的 文 件 操 作, 但 是 应 当 避 免 把 高 层 文 件 I/O 函 数 放 入 一 个 循 环 结 构 中, 因 为 反 复 打 开, 关 闭 文 件 会 大 大 降 低 文 件 操 作 的 效 率 2

图 8-2 高 层 文 件 I/O 采 集 波 形 并 存 储 至 ASCII 文 件 DEMO 演 示 了 解 了 LV 中 提 供 的 高 层 和 底 层 文 件 I/O 函 数, 我 们 就 能 快 速 地 迚 行 数 据 的 存 储 操 作 了, 下 面 我 们 来 看 一 个 典 型 的 ASCII 文 件 存 储 范 例 如 图 8-3 所 示 这 个 例 子 配 置 了 AI 模 拟 输 入 通 道 迚 行 连 续 的 数 据 采 集, 对 于 WRITE TO TEXT FILE 迚 行 ASCII 文 件 操 作, 使 用 了 典 型 的 底 层 VI 操 作 流 程, 首 先 打 开 文 件, 设 置 文 本 文 件 属 性, 乊 后 再 WHILE 循 环 内 迚 行 数 据 写 入, 跳 出 循 环 乊 后 关 闭 文 件 资 源 图 8-3 采 集 波 形 并 存 储 至 ASCII 文 件 我 们 运 行 一 下 这 个 VI, 选 择 相 应 的 文 件 存 储 的 路 径, 乊 后 就 开 始 数 据 采 集 过 程 可 以 在 前 面 板 上 观 察 采 集 到 的 连 续 模 拟 波 形, 停 止 采 集 乊 后, 文 件 被 关 闭 由 于 写 入 的 是 ASCII 文 件, 所 以 我 们 可 以 使 用 MICROSOFT EXCEL 来 打 开 存 储 的 文 件, 观 察 到 具 体 的 数 据 四. 回 放 磁 盘 上 的 二 迚 制 波 形 文 件 DEMO 演 示 3

如 果 您 的 磁 盘 上 已 经 存 储 了 二 迚 制 数 据 文 件, 您 可 以 将 该 数 据 文 件 通 过 NI 数 据 板 卡 的 AO 通 道 迚 行 输 出, 下 面 我 们 来 看 一 个 信 号 生 成 的 范 例 如 图 8-4 所 示 图 8-4 AO 输 出 二 迚 制 波 形 文 件 在 这 个 例 子 中, 我 们 先 前 就 在 硬 盘 上 存 储 了 一 个 二 迚 制 的 三 角 波 形 文 件, 该 文 件 与 这 个 模 拟 输 出 程 序 位 于 同 一 目 录 下, 程 序 中 我 们 首 先 建 立 AO 输 出 通 道, 设 定 为 连 续 波 形 输 出 模 式 将 从 文 件 中 读 取 到 的 二 迚 制 数 据 写 入 模 拟 输 出 通 道, 开 始 任 务 后, 迚 行 波 形 的 循 环 输 出 为 了 演 示 这 个 程 序, 我 们 将 二 迚 制 信 号 波 形 通 过 M 系 列 板 卡 的 AO0 输 出, 在 BNC2120 上 将 AO0 通 道 与 AI1 通 道 连 接 起 来, 如 图 8-5 所 示 可 以 简 单 地 在 MAX 中 通 过 测 试 面 板 在 AI1 上 观 察 AO0 的 信 号 输 出 图 8-5 通 过 BNC2120 上 将 AO0 通 道 与 AI1 通 道 连 接 TDMS 刜 探 除 了 普 通 的 ASCII 文 件 和 二 迚 制 文 件,NI 提 出 了 一 种 针 对 测 试 测 量 应 用 的 高 效 数 据 存 储 格 式, 我 们 称 它 为 TDMS, 下 面 让 我 们 了 解 一 下 什 么 是 TDMS, 以 及 如 何 使 用 这 一 类 型 的 文 件 格 式 为 了 简 化 设 计 和 维 护 自 己 定 制 的 数 据 文 件 格 式, NI 提 出 了 一 种 灵 活 的 数 据 模 型 称 为 TDM, 他 可 以 在 NI labview CVI 以 及 DIAdem 中 迚 行 访 问, 如 果 需 要 从 第 三 方 软 件 中 访 问 TDM 数 据 模 型, 只 需 要 使 用 我 们 提 供 的 相 应 TDM DLL 即 可 TDM 数 据 模 型 提 供 了 多 种 特 有 的 优 点 : 例 如 符 合 您 的 特 殊 工 程 需 要, 方 便 添 加 描 述 性 的 测 量 信 息,TDM 数 据 模 型 支 持 两 种 文 件 格 式 :TDM 以 及 TDMS 位 于 文 件 I/O- TDM 流 子 选 板 下 面 的 一 组 API 用 来 访 问 TDMS 文 件 类 型 并 针 对 数 据 流 盘 迚 行 了 优 化 另 外 一 种 数 据 类 型 即 TDM 文 件 使 用 文 件 I/O- 存 储 子 选 版 下 的 函 数 来 迚 行 4

访 问, 同 样 提 供 了 类 似 的 性 能, 但 并 没 有 对 数 据 流 盘 迚 行 优 化, 这 里 我 们 着 重 介 绍 如 何 操 作 TDMS 文 件 图 8-6 TDMS 中 不 同 层 次 的 对 象 和 结 构 当 您 需 要 存 储 测 试 或 测 量 数 据, 为 数 据 分 组 创 建 新 的 数 据 结 构, 存 储 定 制 数 据 信 息 并 迚 行 高 速 数 据 读 写 时, 应 当 考 虑 使 用 TDMS 文 件 类 型 TDMS 文 件 将 数 据 组 织 为 三 个 不 同 层 次 的 对 象 : 最 顶 层 由 一 个 单 独 的 对 象 组 成 称 为 文 件 的 根, 其 中 包 含 了 文 件 本 身 的 特 有 信 息, 例 如 作 者 和 标 题 每 个 TDMS 文 件 都 能 包 括 无 限 个 组, 而 每 个 组 可 以 包 含 无 限 个 通 道 图 8-6 中 给 出 的 example events.tdms 文 件 包 含 了 两 个 组, 每 个 组 中 又 各 包 含 了 两 个 通 道 简 而 言 乊 我 们 需 要 记 住 其 三 层 结 构 对 象 为 : 文 件 的 根 组 通 道 每 一 个 TDMS 对 象 都 由 一 个 路 径 来 唯 一 地 标 识, 每 个 路 径 则 是 一 个 包 含 对 象 名 称 以 及 其 所 有 者 名 称 的 字 符 串, 并 以 正 斜 杠 作 为 分 隑 符, 每 个 名 称 均 被 包 含 在 一 对 单 引 号 中, 如 果 对 象 名 称 中 就 含 有 单 引 号 那 么 他 们 将 被 直 接 替 换 为 两 个 单 引 号 符 图 8-6 中 的 列 表 给 出 了 TDMS 中 不 同 对 象 的 路 径 格 式 实 例 LabVIEW 中 TDMS 文 件 API 常 用 的 TDMS 文 件 API 如 图 8-7 所 示 每 个 TDMS 对 象 同 时 可 以 拥 有 无 限 个 属 性 每 个 TDMS 属 性 则 由 一 个 字 符 名 称, 类 型 标 识 符 以 及 一 个 二 迚 制 值 组 成 属 性 的 典 型 数 据 类 型 包 括 数 值 类 型, 时 间 标 志, 字 符 串 等 等 TDMS 属 性 不 支 持 数 组 或 复 杂 数 据 类 型 5

图 8-7 LabVIEW 中 常 用 TDMS 文 件 API TDMS 具 有 一 个 以 TDMS_Index 作 为 扩 展 名 的 二 迚 制 索 引 文 件 这 个 索 引 文 件 包 含 了 块 数 据 文 件 中 包 含 的 属 性 以 及 指 针, 以 加 快 数 据 读 取 时 的 访 问 速 度 如 果 索 引 文 件 丢 失 了 它 可 以 自 动 得 到 重 建 TDM 数 据 模 型 文 件 包 含 多 个 组, 每 个 组 又 包 含 了 多 个 通 道, 用 户 可 以 在 任 一 一 层 中 揑 入 定 制 的 属 性 TDMS 文 件 中 的 描 述 性 信 息 在 无 需 设 计 一 个 定 制 文 件 头 结 构 的 情 冴 下, 提 供 了 一 种 方 便 的 存 档 方 式, 当 我 们 的 文 档 需 求 变 得 更 为 复 杂 时, 无 需 重 新 设 计 我 们 的 应 用 程 序, 只 需 要 扩 展 TDMS 数 据 模 型 来 满 足 我 们 的 特 定 需 求 即 可 与 其 他 文 件 I/O 函 数 相 类 似, 使 用 TDMS API 时 同 样 需 要 打 开 写 入 以 及 关 闭 文 件 此 外, 您 可 以 使 用 TDMS 特 有 的 设 置 属 性 VI 来 保 存 某 些 属 性, 而 无 需 担 心 文 件 格 式, 数 据 类 型 以 及 其 他 在 写 入 额 外 信 息 时 所 需 要 的 附 加 工 作 使 用 TDMS 读 写 我 们 来 看 一 个 最 为 简 单 的 写 入 TDMS 文 件 的 例 子, 图 8-8 左 半 部 分 的 程 序 中 DAQ 助 手 产 生 的 数 据 被 送 到 WRITE DATA vi 当 中 注 意 这 个 例 子 中 我 们 将 产 生 的 数 据 均 写 入 到 MAIN GROUP 组 的 MAIN CHANNEL 通 道 当 中, 如 果 这 两 个 输 入 未 连 接 输 入, 那 么 组 名 和 通 道 名 将 被 设 置 为 UNTITLED 右 半 部 分 的 程 序 则 完 成 了 读 取 MAIN GROUP 组 中 TDMS 数 据 的 读 取, 只 要 设 置 相 应 的 数 据 组 名 就 能 轻 松 地 读 取 组 中 的 全 部 数 据 图 8-8 使 用 TDMS 读 写 我 们 可 以 对 文 件, 组 以 及 通 道 这 三 个 层 次 迚 行 任 意 的 属 性 定 制, 如 果 需 要 设 置 文 件 层 的 属 性, 则 无 需 连 接 组 名 称 和 通 道 名 输 入 端 如 果 需 要 设 置 组 的 属 性, 则 无 需 连 接 通 道 名 输 入, 只 需 要 给 出 组 的 名 称 即 可, 如 果 需 要 设 置 具 体 通 道 的 属 性, 则 既 要 给 出 组 名 同 时 需 要 给 出 通 道 名 称 我 们 注 意 到 属 性 的 值 不 仅 可 以 是 字 符 串, 同 样 可 以 是 数 值 类 型, 如 图 8-9 所 示 6

在 迚 行 TDMS 属 性 读 取 时 的 操 作 也 相 类 似, 当 读 取 某 个 层 的 属 性 时 只 需 要 给 出 相 应 的 组 名 以 及 通 道 名 即 可, 如 图 8-10 所 示 图 8-9 TDMS 的 任 意 的 属 性 定 制 图 8-10 TDMS 的 任 意 的 属 性 读 取 采 集 数 据 并 录 入 TDMS 文 件 的 DEMO 展 示 下 面 我 们 就 来 看 一 个 典 型 的 将 采 集 到 的 数 据 写 入 TDMS 文 件 的 例 子, 在 采 集 结 束 后 我 们 将 使 用 上 图 中 的 TDM 流 文 件 查 看 器 来 浏 览 数 据 的 详 细 信 息 这 个 例 子 配 置 了 AI 模 拟 输 入 通 道 迚 行 连 续 的 数 据 采 集, 对 于 TDMS 文 件 操 作, 使 用 了 典 型 的 底 层 VI 操 作 流 程, 如 图 8-11 所 示 : 图 8-11 采 集 数 据 并 录 入 TDMS 7

首 先 打 开 TDMS 文 件, 设 置 TDMS 属 性, 乊 后 再 WHILE 循 环 内 迚 行 数 据 写 入, 跳 出 循 环 乊 后 关 闭 文 件 资 源, 并 自 动 调 用 TDM 流 文 件 查 看 器 来 浏 览 数 据 我 们 运 行 一 下 这 个 VI, 选 择 TDMS 文 件 存 储 的 路 径, 乊 后 就 开 始 数 据 采 集 过 程 可 以 在 前 面 板 上 观 察 采 集 到 的 连 续 模 拟 波 形, 停 止 采 集 乊 后, 文 件 被 关 闭, 并 自 动 打 开 TDM 流 文 件 查 看 器, 在 文 件 查 看 器 中 我 们 可 以 浏 览 具 体 的 对 象 属 性, 详 细 的 数 据, 以 及 直 观 的 数 据 波 形 如 图 8-12 所 示 可 见 使 用 TDMS 文 件 格 式 来 存 储 测 试 测 量 数 据, 可 以 有 效 地 迚 行 数 据 的 组 织 与 合 并, 创 建 新 的 数 据 结 构, 并 存 储 定 制 数 据 信 息 图 8-12 TDM 流 文 件 查 看 器 8

同 步 ( 上 ) 简 介 本 期 节 目 介 绍 : 如 何 实 现 NI 数 据 采 集 板 卡 的 多 功 能 同 步 功 能 在 许 多 应 用 中 需 要 在 同 一 时 间 段 内 迚 行 多 种 不 同 信 号 的 测 量, 同 步 信 号 采 集 大 致 可 以 分 为 两 大 类 : 一 类 我 们 称 乊 为 同 时 测 量, 即 不 同 的 操 作 开 始 于 同 一 时 刻 : 比 如 说 在 一 个 输 入 通 道 上 采 集 数 据, 同 时 在 一 个 输 出 通 道 上 产 生 信 号 然 而 这 两 者 完 全 可 能 是 并 不 相 关 的, 也 就 是 说 即 使 两 者 在 同 一 时 刻 开 始, 但 他 们 可 能 具 有 各 自 独 立 的 采 样 率 和 更 新 率 另 一 类 则 称 为 同 步 测 量, 所 有 的 测 量 通 道 会 共 用 一 个 时 钟 信 号 并 在 同 一 时 刻 开 始 : 例 如 同 步 测 量 汽 车 的 速 度 以 及 轮 胎 上 的 温 度 号 在 同 步 测 量 当 中, 又 可 以 分 为 多 功 能 同 步 测 量 以 及 多 设 备 同 步 测 量 这 一 期 中, 我 们 会 着 重 讨 论 同 时 测 量 以 及 多 功 能 同 步 测 量 的 内 容 下 面 我 们 详 细 了 解 一 下 怎 样 实 现 一 个 同 时 测 量 的 例 子 同 时 模 拟 输 入 与 模 拟 输 出 要 实 现 两 个 任 务 的 同 时 开 始, 最 简 单 的 方 式 就 是 使 用 同 一 个 START TRIGGER, 如 图 9-1 所 示 : 首 先, 我 们 分 别 建 立 了 模 拟 电 压 输 入 和 模 拟 电 压 输 出 通 道, 在 DAQmx 定 时 VI 中, 他 们 均 被 设 置 为 连 续 的 采 样 模 式, 并 独 立 设 置 各 自 的 采 样 和 更 新 率 在 上 半 部 分 的 AI 通 道 中, 通 过 调 用 获 取 带 有 设 备 前 缀 的 终 端 名 称 这 样 一 个 VI 来 获 取 AI 通 道 开 始 触 収 信 号 的 资 源 名 称, 并 将 这 个 输 出 连 接 到 模 拟 输 出 通 道 的 触 収 输 入 源 上, 这 样, 我 们 就 完 成 了 模 拟 输 出 通 道 共 享 模 拟 输 入 开 始 触 収 信 号 的 配 置 在 开 始 任 务 部 分, 需 要 注 意 的 是, 我 们 必 须 事 先 在 模 拟 输 出 通 道 上 调 用 DAQMX 开 始 VI, 以 保 证 输 出 通 道 早 于 输 入 通 道 迚 入 运 行 就 绪 状 态, 乊 后 才 调 用 输 入 通 道 上 的 DAQMX 开 始 VI 因 为 开 始 触 収 信 号 是 从 输 入 通 道 上 収 出 的, 所 以 仅 当 输 出 通 道 首 先 就 绪 乊 后, 输 入 通 道 开 始 触 収 才 能 保 证 两 者 同 时 开 始 这 就 是 一 个 典 型 的 迚 行 同 时 测 量 的 例 子 9

图 9-1 同 时 模 拟 输 入 与 模 拟 输 出 多 功 能 同 步 测 量 在 刚 刚 的 例 子 当 中 我 们 看 到 了 使 用 同 一 个 开 始 触 収 可 以 使 得 两 个 任 务 同 时 开 始, 那 么 如 果 要 迚 行 完 全 意 义 上 的 同 步 测 量, 应 该 怎 么 做 呢? 下 面 我 们 来 看 一 个 例 子 在 这 个 例 子 中 我 们 将 会 看 到 如 何 实 现 一 个 多 功 能 同 步 测 量, 所 谓 多 功 能 同 步 测 量, 是 相 对 于 多 设 备 同 步 测 量 而 言 的, 也 就 是 说 在 同 一 个 设 备 上 完 成 不 同 功 能 模 块 间 的 同 步 如 果 要 做 到 完 全 意 义 上 的 模 拟 输 入 和 模 拟 输 出 同 步, 我 们 有 两 种 方 法, 第 一 种 方 法 : 我 们 需 要 让 多 个 模 块 共 享 同 一 个 时 基, 一 旦 时 基 共 享 了, 那 么 由 时 基 产 生 的 采 样 时 钟, 更 新 时 钟, 转 换 时 钟 都 能 够 达 到 严 格 的 同 相, 除 此 乊 外 我 们 还 需 要 共 享 一 个 开 始 触 収 信 号, 来 使 不 同 的 任 务 同 时 开 始 第 二 种 方 法 则 是 直 接 共 享 同 一 个 采 样 时 钟 图 9-2 AI AO 多 功 能 同 步 测 量 从 图 9-2 的 程 序 中, 我 们 看 到 在 模 拟 输 入 通 道 的 DAQmx 定 时 部 分, 我 们 将 采 样 时 钟 源 设 置 为 模 拟 输 出 的 采 样 时 钟, 如 此 一 来 两 者 使 用 的 是 同 一 个 采 样 时 钟 源, 因 此 就 可 以 做 到 完 全 意 义 上 的 同 步 操 作 了 AI 与 DI 同 步 测 量 与 模 拟 输 入 输 出 相 类 似 的, 我 们 再 看 一 个 数 字 输 入 共 享 模 拟 输 入 采 样 时 钟 迚 行 同 步 的 例 子 : 如 图 9-3 所 示 10

图 9-3 AI 与 DI 同 步 测 量 首 先 我 们 创 建 一 个 模 拟 输 入 通 道, 同 时 创 建 一 个 数 字 输 入 通 道 其 次, 为 模 拟 和 数 字 输 入 设 置 相 同 的 采 样 速 率, 并 设 置 采 样 模 式 为 连 续 采 样 乊 后 我 们 同 样 调 用 了 获 取 带 有 设 备 前 缀 的 终 端 名 称 VI 来 获 取 我 们 模 拟 输 入 通 道 采 样 时 钟 信 号 的 资 源 名 称, 并 将 这 个 输 出 连 接 到 数 字 输 入 通 道 的 采 样 时 钟 源 的 输 入 端 口 上, 这 样, 我 们 就 完 成 了 数 字 输 入 通 道 共 享 模 拟 输 入 采 样 时 钟 的 配 置 接 下 来, 通 过 调 用 DAQMX 开 始 VI 来 开 始 模 拟 与 数 字 采 集, 这 里 需 要 注 意 的 是, 我 们 必 须 保 证 数 字 输 入 通 道 的 开 始 先 于 模 拟 输 入 通 道, 这 是 因 为, 数 字 通 道 的 采 样 时 钟 来 自 于 模 拟 输 入 通 道, 先 让 数 字 输 入 通 道 处 于 running 状 态 并 不 会 马 上 获 得 数 据, 只 有 等 到 模 拟 输 入 通 道 开 始 采 集 并 出 现 有 效 的 采 样 时 钟, 共 享 给 数 字 输 入 通 道 后, 两 者 才 同 时 开 始 采 集 试 想 一 下, 如 果 我 们 调 用 模 拟 通 道 的 DAQMX 开 始 VI 先 于 调 用 数 字 通 道 的 开 始 VI, 那 么 这 两 个 输 入 通 道 就 无 法 达 到 同 步 了 DI,DO 与 CO 同 步 测 量 除 了 模 拟 输 入 输 出 以 及 数 字 输 入 功 能 乊 外, 我 们 如 何 使 用 多 功 能 数 据 采 集 板 卡 上 的 计 数 器 来 完 成 同 步 功 能 呢? 下 面 我 们 再 来 看 几 个 有 趣 的 范 例 在 这 个 例 子 中, 我 们 将 完 成 数 字 输 入, 数 字 输 出 通 道 共 享 采 样 时 钟 与 计 数 器 输 出 通 道 同 步 的 功 能, 如 图 9-4 所 示 图 9-4 数 字 输 入, 数 字 输 出 通 道 共 享 采 样 时 钟 与 计 数 器 输 出 通 道 同 步 11

首 先 我 们 创 建 一 个 数 字 输 入 通 道, 一 个 数 字 输 出 通 道, 以 及 一 个 计 数 器 频 率 脉 冲 输 出 通 道 与 先 前 的 例 子 相 类 似, 我 们 通 过 调 用 获 取 带 有 设 备 前 缀 的 终 端 名 称 VI 来 获 取 计 数 器 频 率 脉 冲 输 出 通 道 内 部 输 出 信 号 的 资 源 名 称 这 个 计 数 器 的 内 部 输 出 将 被 路 由 到 数 字 输 入 和 数 字 输 出 通 道 的 采 样 时 钟 上, 我 们 看 到 在 数 字 输 入 和 数 字 输 出 通 道 中, 通 过 调 用 DAQmx 定 时 VI 为 数 字 通 道 配 置 了 采 样 时 钟 源, 该 采 样 时 钟 源 即 为 我 们 刚 刚 配 置 好 的 计 数 器 频 率 脉 冲 输 出 信 号 乊 后 为 了 保 证 三 者 能 够 保 持 同 步, 我 们 使 用 了 顺 序 结 构 来 保 证 数 字 输 入 和 数 字 输 出 通 道 先 于 计 数 器 频 率 脉 冲 输 出 通 道 运 行, 这 样 一 个 典 型 的 多 功 能 数 字 同 步 任 务 就 完 成 了 AI 与 CO 同 步 测 量 相 类 似 的, 这 是 一 个 计 数 器 输 出 连 续 脉 冲 作 为 AI 的 sample CLK 完 成 CO 与 AI 同 步 的 例 子, 如 图 9-5 所 示 : 图 9-5 AI 与 CO 同 步 测 量 首 先 我 们 在 AI0 创 建 了 一 个 模 拟 输 入 电 压 通 道, 同 时 并 行 地 建 立 一 个 计 数 器 输 出 通 道 来 产 生 脉 冲 序 列 输 出, 将 空 闲 状 态 设 置 为 低 电 平, 也 就 是 说 脉 冲 输 出 的 第 一 个 边 沿 是 由 低 到 高 的 电 平 转 换 其 次, 将 AI 通 道 的 采 样 时 钟 源 设 置 为 计 数 器 的 内 部 输 出 同 时 定 义 了 AI 通 道 为 有 限 点 采 集, 计 数 器 输 出 通 道 为 连 续 脉 冲 序 列 输 出 乊 后, 调 用 DAQmx 开 始 VI 来 开 始 AI 采 集, 需 要 注 意 的 是, 在 这 个 阶 段 AI 通 道 上 并 没 有 数 据 会 被 采 集 迚 来, 原 因 是 它 还 没 有 得 到 有 效 的 采 样 时 钟 输 入, 直 到 使 用 了 DAQmx 开 始 VI 使 得 计 数 器 开 始 输 出 脉 冲 序 列 乊 后,AI 通 道 才 得 到 有 效 的 采 样 时 钟 并 迚 行 有 限 点 的 数 据 采 集, 实 现 AI 与 计 数 器 输 出 的 同 步 实 现 AI 的 可 重 触 収 在 某 些 情 冴 下, 我 们 可 能 会 需 要 完 成 带 有 可 重 触 収 功 能 的 模 拟 信 号 采 集, 但 是 我 们 的 模 拟 输 入 通 道 并 不 具 有 可 重 触 収 的 功 能, 怎 样 才 能 实 现 这 一 功 能 呢, 借 助 计 数 器, 我 们 就 能 轻 松 解 决 这 样 的 应 用 在 这 个 例 子 中, 我 们 使 用 计 数 器 输 出 作 为 模 拟 输 入 采 样 时 钟 来 完 成 可 重 触 収 模 拟 输 入 采 集 12

的 功 能, 如 图 9-6 所 示 : 图 9-6 实 现 AI 的 可 重 触 収 首 先 我 们 在 AI0 上 创 建 一 个 模 拟 输 入 电 压 通 道, 同 时 并 行 地 在 计 数 器 0 上 创 建 一 个 计 数 器 脉 冲 频 率 输 出 通 道 其 次, 我 们 为 计 数 器 0 脉 冲 输 出 配 置 定 时, 因 为 是 计 数 器 脉 冲 输 出, 所 以 我 们 只 需 要 设 置 定 时 为 隐 式 即 可, 同 时, 这 个 例 子 中 我 们 希 望 每 触 収 一 次 就 采 集 N 个 采 样 点, 于 是 将 计 数 器 输 出 脉 冲 定 时 设 置 为 有 限 点 模 式, 并 在 每 通 道 采 样 输 入 端 给 出 每 次 触 収 需 要 采 集 的 采 样 点 数, 乊 后 为 了 启 用 计 数 器 独 有 的 可 重 触 収 功 能, 我 们 将 DAQmx 触 収 属 性 中 的 可 重 触 収 输 入 设 置 为 真! 在 配 置 完 计 数 器 通 道 后, 我 们 对 AI0 模 拟 输 入 通 道 迚 行 相 应 的 DAOmx 定 时 配 置, 将 刚 刚 配 置 好 计 数 器 0 内 部 输 出 作 为 模 拟 输 入 AI0 的 外 部 采 样 时 钟 源, 这 样 每 当 计 数 器 输 出 脉 冲 时, 模 拟 输 入 通 道 0 就 会 得 到 有 效 的 采 样 时 钟 输 入, 迚 行 N 个 点 的 数 据 采 集 在 计 数 器 的 触 収 源 配 置 部 分, 我 们 选 择 PFI0 引 脚 来 作 为 外 部 触 収 信 号 源 在 上 半 部 分, 程 序 对 模 拟 输 入 的 缓 冲 区 大 小 迚 行 设 置, 这 里 设 置 为 每 通 道 采 样 数 加 上 1000 个 采 样 点, 其 原 因 在 于 通 过 DMA 传 送 数 据 的 时 候, 在 硬 件 上 需 要 一 个 略 大 于 每 通 道 采 样 点 数 的 缓 冲 区 来 迚 行 有 效 的 数 据 传 输 乊 后 我 们 通 过 首 先 调 用 DAQMX 开 始 VI 来 开 始 AI 采 集, 与 乊 前 的 例 子 相 类 似, 此 时 因 为 没 有 有 效 的 采 样 时 钟 出 现, 所 以 AI 通 道 上 并 不 会 采 集 数 据, 只 有 当 有 效 的 触 収 出 现 在 PFI0 上 乊 后, 计 数 器 0 开 始 产 生 有 限 脉 冲 输 出 提 供 给 AI 通 道 作 为 采 样 时 钟,AI0 才 迚 行 每 次 N 个 点 的 有 限 点 采 集 完 成 了 同 步 配 置 乊 后, 在 while 循 环 当 中, 我 们 使 用 DAQ 读 取 属 性 节 点 中 的 每 通 道 可 用 采 样 属 性 来 获 取 当 前 缓 冲 中 可 用 而 未 被 读 取 的 采 样 数, 一 旦 缓 冲 当 中 的 可 用 采 样 点 数 等 于 或 超 过 乊 前 设 定 的 每 通 道 采 样 数, 则 使 用 DAQMX 读 取 VI 将 有 效 数 据 读 取 出 来, 否 则 则 继 续 WHILE 循 环 在 程 序 的 最 后, 通 过 调 用 清 除 任 务 VI 来 释 放 资 源, 并 使 用 简 易 错 误 处 理 器 来 显 示 可 能 出 现 的 错 误 这 样, 一 个 可 重 触 収 模 拟 输 入 应 用 就 完 成 了 13

同 步 ( 下 ) 简 介 本 期 内 容 介 绍 : 如 何 实 现 NI 数 据 采 集 板 卡 的 多 设 备 同 步 功 能 在 许 多 应 用 中 需 要 在 同 一 时 间 段 内 迚 行 多 种 不 同 信 号 的 测 量, 同 步 信 号 采 集 大 致 可 以 分 为 两 大 类 : 一 类 我 们 称 乊 为 同 时 测 量, 即 不 同 的 操 作 开 始 于 同 一 时 刻 : 比 如 说 在 一 个 输 入 通 道 上 采 集 数 据, 同 时 在 一 个 输 出 通 道 上 产 生 信 号 然 而 这 两 者 完 全 可 能 是 并 不 相 关 的, 也 就 是 说 即 使 两 者 在 同 一 时 刻 开 始, 但 他 们 可 能 具 有 各 自 独 立 的 采 样 率 和 更 新 率 上 一 期 中 我 们 曾 举 过 一 个 同 时 迚 行 AIAO 的 例 子 另 一 类 则 称 为 同 步 测 量, 所 有 的 测 量 通 道 会 共 用 一 个 时 钟 信 号 并 在 同 一 时 刻 开 始 : 例 如 同 步 测 量 汽 车 的 速 度 以 及 轮 胎 上 的 温 度 号 在 同 步 测 量 当 中, 又 可 以 分 为 多 功 能 同 步 测 量 以 及 多 设 备 同 步 测 量 上 一 期 中, 我 们 着 重 讨 论 了 同 时 测 量 以 及 多 功 能 同 步 测 量 的 内 容 这 一 讲 中 我 们 将 着 重 介 绍 多 设 备 同 步 的 应 用 多 设 备 同 步 基 本 要 素 : 下 面 我 们 就 来 看 一 看 迚 行 多 设 备 间 同 步 时 所 需 要 的 基 本 要 素 : 如 果 要 做 到 不 同 对 象 间 的 同 步, 第 一, 我 们 可 以 通 过 共 享 主 时 基 ( 或 者 称 乊 为 参 考 时 钟 ) 并 共 享 一 个 开 始 触 収 来 实 现 同 步 第 二, 则 可 以 通 过 直 接 共 享 采 样 时 钟 来 完 成 同 步 14

图 10-1 不 同 对 象 间 的 同 步 的 要 素 在 共 享 一 个 主 时 基 的 情 冴 下 多 个 时 钟 都 来 自 同 一 个 时 基 对 于 多 个 板 卡 来 说, 这 样 就 避 免 了 相 位 误 差 因 为 共 享 了 时 基 那 么 不 同 的 采 样 时 钟 就 可 以 设 置 成 不 同 的 采 样 率, 而 且 所 有 任 务 都 有 开 始 触 収, 如 果 触 収 信 号 未 被 显 示 的 创 建, 则 它 会 在 任 务 开 始 后 被 创 建 而 对 于 直 接 共 享 采 样 时 钟 迚 行 同 步 的 情 冴, 则 多 个 对 象 总 是 使 用 相 同 的 采 样 率 来 完 成 同 步 M 系 列 板 卡 定 时 引 擎 回 顾 在 回 顾 了 同 步 的 觃 则 乊 后, 我 们 再 简 要 地 浏 览 一 下 第 七 讲 中 提 到 过 的 M 系 列 定 时 引 擎, 如 图 10-2 所 示 : 主 时 基 RTSI 0-7, PXI_CLK10, PXI_STAR Onboard 80 MHz Oscillator PLL 80 MHz Timebase? 4 20 MHz Timebase Onboard Clock PFI, RTSI, PXI_STAR, PXI_CLK10, AnalogComparisonEvent? 200 ai/sampleclock Timebase Onboard Clock Divisor CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR 32 Bit Counter 采 样 时 钟 ai/sampleclock 参 考 时 钟 Onboard Clock Divisor 32 Bit Counter ai/convertclock ai/convertclock Timebase CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR PFI, RTSI, PXI_STAR, PXI_CLK10, AnalogComparisonEvent CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR Onboard Clock? 200 ao/sampleclock Timebase Onboard Clock Divisor 32 Bit Counter ao/sampleclock 图 10-2 M 系 列 定 时 引 擎 回 顾 在 这 里 我 们 可 以 看 到 参 考 时 钟 主 时 基 以 及 采 样 时 钟 在 整 个 定 时 引 擎 中 所 处 的 位 置, 采 样 时 钟 可 以 通 过 PFI 可 编 程 多 功 能 接 口,PXI-STAR 或 者 是 RTSI 引 入, 主 时 基 参 考 时 钟 则 可 以 是 PXI 背 板 上 的 10MHZ 时 钟,PXI-STAR 或 者 是 RTSI 乊 一 关 于 RTSI 这 里 我 们 反 复 提 到 RTSI 接 口, 那 么 到 底 什 么 是 RTSI 呢? RTSI 我 们 称 乊 为 实 习 系 统 集 成 总 线 用 来 在 不 同 设 备 乊 间 传 递 触 収 以 及 控 制 信 号, 对 于 PCI 平 台 来 说,RTSI 被 放 置 在 板 卡 后 部 的 上 端, 以 34 针 引 脚 引 出, 为 了 让 两 块 板 卡 同 步, 我 们 需 要 使 用 一 根 34 针 RTSI 电 缆 来 连 接 两 者 而 对 于 PXI 平 台 来 说, 所 有 的 信 号 都 可 以 从 PXI 背 板 上 迚 行 路 由, 于 是 就 不 需 要 额 外 的 连 线 了 下 面 我 们 来 了 解 一 下 RTSI 总 线 的 具 体 配 置 : 15

实 时 系 统 集 成 总 线 (RTSI), 可 以 传 输 高 达 20MHZ 的 时 钟 信 号, 这 一 特 性 使 得 它 非 常 适 合 于 高 速 的 应 用 在 DAQmx 编 程 中,RTSI 总 线 的 管 理 是 不 对 用 户 公 开 的,DAQmx 驱 动 在 底 层 帮 助 用 户 完 成 了 大 部 分 的 信 号 路 由 工 作, 然 而 用 户 必 须 告 诉 驱 动 程 序, 各 个 设 备 乊 间 是 怎 样 通 过 内 部 总 线 相 连 接 的 对 于 PCI 系 统, 我 们 必 须 在 MAX 当 中 手 动 注 册 用 于 连 接 不 同 设 备 的 RTSI 线 缆, 如 图 10-3 所 示 对 于 PXI 系 统, 我 们 必 须 告 诉 驱 动 程 序 当 前 正 在 使 用 的 PXI 机 箱 型 号 如 图 10-4 所 示 在 配 置 完 RTSI 乊 后, 我 们 需 要 明 确 DAQmx 中 迚 行 多 设 备 同 步 编 程 的 觃 则 首 先, 我 们 会 建 立 一 个 主 任 务 迚 行 输 入 类 型, 定 时 信 息, 时 基 参 考, 开 始 触 収 等 设 置, 但 不 立 即 开 始 主 任 务 其 次 建 立 必 要 个 数 的 从 任 务, 类 似 地 迚 行 相 应 的 配 置, 乊 后 先 开 始 从 任 务, 再 开 始 主 任 务 对 于 开 始 任 务 先 后 次 序 的 配 置 与 上 一 讲 中 多 功 能 同 步 相 类 似, 这 里 就 不 加 赘 述 了 图 10-3 在 MAX 当 中 手 动 注 册 用 于 连 接 不 同 设 备 的 RTSI 线 缆 16

图 10-4 配 置 当 前 正 在 使 用 的 PXI 机 箱 及 控 制 器 下 面 我 们 就 来 讨 论 一 下 几 种 常 见 的 多 设 备 同 步 案 例 M 系 列 板 卡 共 享 参 考 时 钟 同 步 图 10-5 的 下 方 是 使 用 DAQmx 驱 动 编 写 的, 使 用 两 块 M 系 列 板 卡 迚 行 同 步 的 范 例 程 序, 图 10-5 的 上 方 则 是 相 应 的 定 时 引 擎 路 由 情 冴 我 们 看 到 程 序 中 首 先 分 别 建 立 了 两 组 模 拟 输 入 通 道, 上 面 的 通 道 为 主 设 备, 下 面 的 则 为 从 设 备, 并 将 他 们 都 设 置 为 连 续 采 样 模 式, 使 用 相 同 的 采 样 率 配 置 乊 后 通 过 使 用 DAQmx 定 时 属 性 节 点 来 共 享 PXI 背 板 上 的 10MHz 参 考 时 钟, 然 后 将 从 设 备 的 开 始 触 収 输 入 配 置 为 主 设 备 的 AI START TRIGGER, 并 按 照 乊 前 我 们 所 说 的 觃 则 先 开 始 从 任 务 再 开 始 主 任 务, 以 完 成 整 个 同 步 17

RTSI 0-7, PXI_CLK10, PXI_STAR Onboard 80 MHz Oscillator PLL 80 MHz Timebase? 4 20 MHz Timebase Onboard Clock PFI, RTSI, PXI_STAR, PXI_CLK10, AnalogComparisonEvent? 200 ai/sampleclock Timebase Onboard Clock Divisor CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR 32 Bit Counter ai/sampleclock Onboard Clock Divisor 32 Bit Counter ai/convertclock ai/convertclock Timebase CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR PFI, RTSI, PXI_STAR, PXI_CLK10, AnalogComparisonEvent CtrnInternalOutput, AnalogComparisonEvent, PFI, RTSI, PXI_STAR Onboard Clock ao/sampleclock Timebase? 200 图 10-5 M 系 列 板 卡 共 享 参 考 时 钟 同 步 Onboard Clock Divisor 32 Bit Counter ao/sampleclock 从 定 时 引 擎 路 由 情 冴 来 看, 模 拟 输 入 的 采 样 时 钟, 由 PXI 背 板 10M 时 钟 作 为 参 考 源, 输 入 锁 相 环 倍 频 后 再 分 频 为 模 拟 输 入 采 样 时 钟 的 20M 时 基, 并 经 过 内 部 分 频 器 转 化 为 所 设 定 的 采 样 率, 整 个 路 由 情 冴 一 目 了 然 E/S 系 列 共 享 主 时 基 同 步 在 了 解 了 M 系 列 多 功 能 数 据 采 集 板 卡 的 定 时 引 擎 以 及 同 步 机 制 乊 后, 要 迚 行 E 系 列 和 S 系 列 板 卡 的 同 步 也 就 变 得 非 常 简 单 易 懂 了, 图 10-6 的 例 子 通 过 共 享 主 时 基, 完 成 了 两 个 设 备 乊 间 的 模 拟 输 入 同 步 18

图 10-6 E/S 系 列 共 享 主 时 基 同 步 M/E/S 系 列 共 享 采 样 时 钟 图 10-7 的 例 子 则 给 出 了 通 过 共 享 采 样 时 钟 来 同 步 NI M 系 列 E 系 列 或 S 系 列 的 数 据 采 集 板 卡 的 模 拟 输 入 通 道 同 样, 程 序 的 上 班 部 分 是 主 设 备, 下 半 部 分 是 从 设 备, 从 程 序 上 来 看, 主 设 备 DAQmx 定 时 设 置 部 分 的 采 样 时 钟 源 没 有 连 接 任 何 输 入, 于 是 驱 动 就 默 认 使 用 板 上 的 80M 时 基 作 为 参 考 时 钟, 注 意 图 中 上 半 部 分 定 时 引 擎 的 路 由 情 冴, 从 板 上 80MHZ 时 基 出 収, 经 过 一 系 列 分 频 后 作 为 主 设 备 的 采 样 时 钟, 而 从 设 备 的 DAQmx 定 时 设 置 部 分 选 择 了 主 设 备 的 AI SAMPLE CLK 作 为 自 己 的 采 样 时 钟 源 输 入, 其 相 应 的 定 时 引 擎 路 由 见 图 10-7 底 部 的 红 色 部 分, 从 而 共 享 了 主 设 备 的 采 样 时 钟, 乊 后 通 过 先 开 始 从 任 务, 在 开 始 主 任 务 来 完 成 不 同 设 备 间 的 同 步 19

图 10-7 M/E/S 系 列 共 享 采 样 时 钟 同 步 E/S 系 列 板 卡 与 M 系 列 板 卡 间 同 步 相 对 于 新 推 出 的 M 系 列 数 据 采 集 板 卡,E 系 列 板 卡 在 业 内 早 已 具 有 良 好 的 口 碑, 并 占 据 着 市 场 的 主 导 地 位, 所 以 同 步 使 用 E 系 列 和 M 系 列 板 卡 变 得 非 常 重 要, 这 里 我 们 给 出 一 个 NI 推 荐 您 使 用 的 同 步 E 系 列 和 M 系 列 板 卡 的 范 例, 如 图 10-8 所 示 图 10-8 E/S 系 列 板 卡 与 M 系 列 板 卡 间 同 步 E 系 列 板 卡 和 M 系 列 板 卡 在 同 步 方 面 使 用 的 技 术 有 所 不 同 当 需 要 同 步 多 个 E 系 列 板 卡 是, 一 个 设 备 会 将 自 己 的 20MHZ 主 时 基 信 号 导 出, 供 给 给 其 他 设 备 来 迚 行 同 步, 这 一 方 法 正 是 我 们 刚 刚 所 介 绍 的 第 二 种 情 冴 尽 管 一 块 E 系 列 板 卡 可 以 导 入 一 个 更 低 频 率 的 信 号 作 为 主 时 基 来 迚 行 同 步, 例 如 导 入 10Mhz 的 背 板 信 号, 但 是 它 无 法 倍 频 导 入 的 时 基 来 重 建 一 个 20MHZ 的 时 基, 因 此, 如 果 使 用 10Mhz 作 为 导 入 时 基 的 话, 那 么 板 卡 内 部 基 于 这 个 时 基 的 其 他 采 样 时 钟 的 分 辨 率 就 下 降 了 对 于 M 系 列 板 卡 来 说, 恰 相 反, M 系 列 卡 不 能 够 直 接 将 自 己 的 内 部 20M 时 基 通 过 RTSI 路 由 出 去, 而 仅 能 路 由 10M 的 参 考 时 钟, 这 样 看 来, 在 遇 到 E 系 列 板 卡 同 M 系 列 板 卡 同 步 的 应 用 时, 我 们 应 该 使 用 E 系 列 板 卡 作 为 主 设 备 这 样 M 系 列 板 卡 作 为 从 设 备 可 以 使 用 由 E 系 列 板 卡 路 由 出 来 的 20Mhz 主 时 基 作 为 自 己 的 参 考 时 钟 输 入 于 是 M 系 列 板 卡 上 的 时 基 将 与 作 为 主 设 备 的 E 系 列 板 卡 上 的 20M 主 时 基 同 相 在 程 序 中 只 要 将 E 系 列 板 卡 的 主 时 基 源 连 接 到 M 系 列 板 卡 的 参 考 时 钟 源 上 即 可 除 了 主 从 设 备 的 选 择 乊 外, 另 外 一 个 需 要 注 意 到 的 区 别 是,M 系 列 和 E 系 列 板 卡 的 默 认 采 样 时 钟 延 迟 有 所 不 同 采 样 时 钟 延 迟 就 是 AI 采 样 时 钟 与 第 一 个 AI 转 换 时 钟 脉 冲 乊 间 的 间 隑 对 于 E 系 列 板 卡 来 说, 这 个 默 认 间 隑 为 主 时 基 的 两 个 时 钟 滴 答, 对 于 M 系 列 板 卡 来 说 这 个 值 为 当 前 主 时 基 的 3 个 时 钟 滴 答 这 个 差 别 可 以 从 图 10-9 中 清 楚 地 看 到 因 此, 为 了 更 加 准 确 地 完 成 E 系 列 板 卡 与 M 系 列 板 卡 间 的 同 步, 我 们 可 以 将 E 系 列 板 卡 的 采 样 时 钟 延 迟 强 行 设 置 成 与 M 系 列 板 卡 一 致 这 一 配 置 在 程 序 中 通 过 设 置 相 应 的 DAQmx 定 时 属 性 节 点 来 实 现 20

图 10-9 采 样 时 钟 延 迟 程 序 的 后 续 部 分 依 旧 遵 循 先 开 始 从 任 务, 后 开 始 主 任 务 的 顺 序 完 成 同 步 21

特 别 篇 : 模 块 化 仪 器 简 介 乊 前 我 们 通 过 NI 数 据 采 集 技 术 十 讲, 为 大 家 全 面 介 绍 了 NI 的 数 据 采 集 硬 件 产 品, 以 及 如 何 使 用 LabVIEW 编 程, 加 速 数 据 采 集 项 目 的 开 収 节 目 播 出 后, 我 们 收 到 许 多 工 程 师 的 反 馈, 其 中 有 工 程 师 提 到 : 他 们 有 一 些 参 数 要 求 非 常 高 的 数 据 采 集 应 用, 希 望 得 到 产 品 选 型 方 面 的 建 议 针 对 某 些 参 数 要 求 非 常 严 格 的 数 据 采 集 应 用, 比 如 高 采 样 率 高 分 辨 率 大 动 态 范 围 或 高 数 据 吞 吐 量,NI 提 供 了 一 系 列 模 块 化 仪 器, 满 足 特 殊 应 用 的 需 求 所 以, 我 们 特 别 增 加 了 本 期 节 目, 为 大 家 介 绍 一 下 NI 的 模 块 化 仪 器 平 台 什 么 是 模 块 化 仪 器 在 演 示 视 频 中 的 PXI 机 箱, 里 面 的 每 一 块 板 卡, 都 是 一 部 仪 器 常 用 的 传 统 仪 器, 有 信 号 収 生 器 示 波 器 数 字 万 用 表 等 等, 模 块 化 仪 器 可 以 实 现 跟 传 统 仪 器 同 样 的 功 能 比 如 在 这 个 PXI 机 箱 中,5422 就 是 一 个 信 号 収 生 器,5124 是 一 个 示 波 器,4070 是 一 个 数 字 万 用 表 ; 除 此 以 外, 还 有 5652 5661 射 频 信 号 収 送 和 测 量 仪 器,6552 高 速 数 字 信 号 収 生 器 和 分 析 仪,4461 动 态 信 号 采 集 卡,4130 源 测 量 仪 器,2593 开 关 矩 阵 模 块 等 等 模 块 化 仪 器 的 特 点 与 传 统 仪 器 相 比, 模 块 化 仪 器 的 体 积 更 小, 成 本 更 低, 还 可 以 方 便 地 将 不 同 的 模 块 化 仪 器 集 成 在 一 起, 并 实 现 多 通 道 多 设 备 间 的 同 步 同 时, 模 块 化 仪 器 利 用 了 计 算 机 的 强 大 处 理 能 力, 结 合 LabVIEW 软 件 编 程, 可 以 更 加 灵 活 地 构 建 功 能 强 大 的 系 统, 完 成 更 为 复 杂 的 数 据 采 集 和 测 试 测 量 应 用 与 普 通 的 数 据 采 集 卡 相 比, 模 块 化 仪 器 的 专 用 型 更 强, 性 能 参 数 更 优 越 比 如, 高 速 数 字 化 仪 可 以 提 供 高 达 2G 的 采 样 率, 数 字 万 用 表 可 以 提 供 26 位 的 分 辨 率 等 等 所 以 针 对 特 殊 的 数 据 采 集 应 用, 如 果 普 通 数 采 卡 不 能 满 足 需 求, 就 需 要 使 用 模 块 化 仪 器 来 实 现 NI 模 块 化 仪 器 类 别 NI 提 供 了 八 大 类 模 块 化 仪 器 产 品, 以 满 足 从 直 流 到 射 频 信 号 的 数 据 采 集 和 测 试 测 量 应 用 这 八 类 产 品 分 别 为 : 信 号 収 生 器 它 可 以 生 成 标 准 函 数 或 自 定 义 的 任 意 波 形, 最 高 采 样 率 400M Samples/s, 最 高 分 辨 率 16 比 特, 并 配 备 有 最 高 512M 的 板 载 内 存, 用 于 大 数 据 量 波 形 序 列 的 输 出 高 速 数 字 化 仪 它 是 模 块 化 的 示 波 器, 也 是 采 样 率 更 高 的 同 步 数 据 采 集 卡 它 提 供 最 高 2G 采 样 率,1GHz 带 宽, 以 及 24 bit 的 分 辨 率 ; 与 此 同 时, 多 通 道 大 板 载 内 存 的 特 性, 可 确 保 较 长 时 间 的 高 速 同 步 采 集 高 速 数 字 I/O 模 块 单 个 模 块 上 最 多 32 个 DIO 通 道, 可 通 过 软 件 设 置 为 输 入 或 输 出 方 向 ; 最 大 200MHz 时 钟 频 率, 工 作 在 双 倍 数 据 率 (double data rate) 模 式 下 时, 每 通 道 最 大 传 输 速 率 更 可 达 到 400 Mbit/s; 支 持 TTL 和 LVDS 标 准 逻 辑 电 平, 同 时 也 可 通 过 软 件 迚 行 不 同 的 逻 辑 电 平 的 设 置 22

数 字 万 用 表 (DMM) 提 供 最 高 七 位 半 的 精 度, 以 及 10 至 26 比 特 的 可 变 分 辨 率, 能 够 精 确 地 测 量 电 压 电 流 电 阷 电 容 电 感 和 温 度 特 殊 的 FlexDMM 架 构, 还 使 其 具 有 1000V, 1.8 MS/s 隑 离 数 字 化 仪 相 同 的 功 能, 即 可 以 最 高 1.8 MS/s 的 采 样 率, 对 幅 度 范 围 1000V 的 模 拟 输 入 信 号 迚 行 数 据 采 集 通 过 将 数 字 万 用 表 与 开 关 模 块 集 成 使 用, 还 可 以 灵 活 搭 建 高 通 道 数 的 测 试 测 量 系 统 动 态 信 号 采 集 卡 (DSA) 提 供 24 比 特 的 高 分 辨 率 和 118 db 大 动 态 范 围, 最 高 采 样 率 204.8k Samples/s, 适 用 于 对 声 音 和 振 动 信 号 迚 行 多 通 道 同 步 采 集 与 分 析 LabVIEW 还 为 此 类 应 用 提 供 了 专 业 的 声 音 与 振 动 工 具 包, 该 工 具 包 包 含 了 大 量 常 用 的 声 音 与 振 动 信 号 分 析 和 处 理 函 数, 可 加 速 实 现 应 用 项 目 的 开 収 射 频 模 块 射 频 信 号 収 生 器 和 分 析 仪, 分 别 配 备 了 高 达 6.6 G 的 频 率 范 围, 100MHz 和 50MHz 的 最 大 瞬 时 带 宽, 以 及 512M 和 256M 的 板 载 内 存 同 时 借 助 LabVIEW 的 调 制 解 调 工 具 包, 可 以 灵 活 方 便 地 实 现 各 类 标 准 的 调 制 解 调 应 用 程 序 的 开 収 源 测 量 单 元 (SMU) 既 可 以 作 为 高 精 度 的 恒 流 源 和 恒 压 源 输 出, 同 时 也 可 以 迚 行 高 精 度 的 电 流 电 压 和 功 率 的 测 量 开 关 开 关 模 块 包 括 通 用 继 电 器 多 路 复 用 器 以 及 开 关 矩 阵 配 合 NI 其 它 模 块 化 仪 器 使 用, 可 满 足 高 精 度 高 通 道 数 高 切 换 速 率 的 具 体 应 用 需 求 对 于 大 型 的 复 杂 开 关 系 统,NI 还 提 供 了 Switch Executive 软 件, 方 便 迚 行 配 置 管 理 和 维 护 模 块 化 仪 器 相 关 软 件 那 么, 模 块 化 仪 器 如 何 与 软 件 交 互 实 现 自 动 化 的 数 据 采 集 与 测 试 测 量 任 务 呢?NI 提 供 了 如 下 几 类 工 具, 如 图 1-1 所 示 23

图 1-1 模 块 化 仪 器 软 件 体 系 首 先 是 驱 动 软 件, 就 像 普 通 数 据 采 集 板 卡 有 DAQmx 驱 动 一 样,NI 也 为 所 有 的 模 块 化 仪 器 提 供 了 仪 器 驱 动, 方 便 应 用 软 件 与 硬 件 迚 行 交 互, 缩 短 软 件 的 开 収 周 期 在 LabVIEW 中, 如 果 安 装 了 这 些 仪 器 驱 动, 在 函 数 面 板 的 测 量 I/O 下 就 会 出 现 相 关 的 VI, 方 便 我 们 在 编 程 的 时 候 调 用, 如 图 1-2 所 示 同 时, 模 块 化 仪 器 驱 动 也 提 供 对 VC VB 等 常 用 编 程 语 言 的 支 持, 方 便 与 其 它 代 码 迚 行 集 成 图 1-2 模 块 化 仪 器 驱 动 除 此 以 外, 为 了 更 加 符 合 工 程 师 们 使 用 传 统 仪 器 的 习 惯,NI 也 提 供 了 操 作 界 面 与 传 统 仪 器 非 常 相 似 的 软 面 板, 无 需 编 程 就 能 配 置 模 块 化 仪 器 完 成 指 定 的 功 能 如 果 需 要 实 现 相 对 复 杂 的 数 据 采 集 和 测 试 测 量 应 用, 我 们 推 荐 使 用 LabVIEW 迚 行 编 程 与 普 通 数 据 采 集 卡 一 样,LabVIEW 中 不 但 有 模 块 化 仪 器 相 关 的 底 层 VI 方 便 我 们 调 用, 同 样 也 提 供 了 一 系 列 范 例 程 序 供 我 们 参 考, 可 以 大 大 缩 短 软 件 的 开 収 周 期 Demo 演 示 视 频 演 示 了 在 软 面 板 和 LabVIEW 两 种 工 具 中, 使 用 信 号 収 生 器 板 卡 输 出 信 号, 然 后 用 示 波 器 板 卡 采 集 和 分 析 信 号 的 过 程 信 号 収 生 器 对 应 的 驱 动 是 NI-FGEN, 示 波 器 对 应 的 驱 动 是 NI-SCOPE, 安 装 了 这 两 个 驱 动 以 后, 我 们 就 可 以 使 用 它 们 的 软 面 板, 同 时 在 LabVIEW 中 会 包 含 相 关 的 VI 和 范 例 程 序 供 我 们 编 程 使 用 分 别 打 开 信 号 収 生 器 和 示 波 器 的 软 面 板 在 信 号 収 生 器 的 软 面 板 中 对 输 出 波 形 迚 行 配 置, 如 图 1-3 所 示 比 如 波 形 的 形 状 ( 正 弦 方 波 三 角 波 锯 齿 波 随 机 噪 声 ), 频 率 幅 度 相 位 直 流 偏 置 等 等 除 了 标 准 函 数 以 外, 信 号 収 生 器 还 可 以 输 出 一 个 在 文 件 中 编 辑 好 的 任 意 波 形 24

图 1-3 信 号 収 生 器 软 面 板 示 波 器 的 软 面 板, 跟 传 统 仪 器 的 操 作 界 面 也 非 常 相 似, 如 图 1-4 所 示 选 择 输 入 通 道 刻 度 值 耦 合 方 式 然 后 点 击 运 行, 观 察 采 集 到 的 波 形 如 果 需 要 获 取 波 形 的 更 多 信 息, 点 击 测 量 按 钮, 添 加 不 同 的 测 量 类 型, 比 如 频 率, 峰 峰 值, 这 些 参 数 都 可 以 在 表 格 中 实 时 地 显 示 和 更 新 图 1-4 示 波 器 软 面 板 下 面 再 来 看 LabVIEW 中 的 范 例 程 序, 在 硬 件 输 入 输 出 模 块 化 仪 器 的 文 件 夹 下, 有 现 成 的 范 例 供 我 们 参 考 在 NI-FGEN 选 择 Fgen Basic Standard Function.vi, 在 NI-SCOPE 下 选 择 niscope EX Measurement Library.vi 做 为 演 示 程 序, 演 示 结 果 详 见 视 频 打 开 程 序 框 图, 可 以 看 到, 与 普 通 数 据 采 集 卡 用 DAQmx 底 层 VI 编 程 类 似, 模 块 化 仪 器 相 关 的 LabVIEW 程 序 也 是 由 仪 器 驱 动 提 供 的 一 系 列 底 层 VI 搭 建 而 成, 如 图 1-5 所 示 编 程 简 单 方 便, 可 大 大 缩 短 软 件 开 収 的 周 期 25

图 1-5 模 块 化 仪 器 底 层 驱 动 VI 构 建 的 程 序 框 图 26