Microsoft Word - SP-DM13G-A.002_CN.doc

Size: px
Start display at page:

Download "Microsoft Word - SP-DM13G-A.002_CN.doc"

Transcription

1 版本 : A.002 发布日期 : 2008/11/10 文件名称 : SP-DM13G-A.002_CN.doc 总页数 : 26 位恒流 LED 驱动芯片 具错误侦测功能

2 位恒流 LED 驱动芯片 具错误侦测功能 DM13G 芯片概述 DM13G 是专为 LED 显示应用设计的沉入式恒流驱动芯片 内建移位寄存器, 数据锁存器, 以及恒流电路组件于硅 CMOS 芯片上 个输出通道的电流可由一外挂电阻调节 整体电流可 由 7 比特串行输入数据进行调节 内建 LED 开 / 短路侦测组件, 输出通道对地短路侦测和过温 警示电路, 帮助使用者侦测 LED 异常, 输出通道短路和过温情况 芯片提供两种传递异常信 息给系统的方法 : 一是从串行输出端 () 传输侦测数据回系统, 藉由与原始数据比对可以 判定哪一通道发生异常 ; 二是通过专门警示端发送错误信息至系统 芯片特色 恒流输出 :5mA ~90mA( 由一外挂电阻调节 ) 最大输出承受电压 : 17V 最大时钟频率 : 30MHz 7bit 线性整体亮度控制 内建 LED 开 / 短路侦测 : 实时侦测或智能侦测 输出通道短路 ( 接地 ) 侦测 : 智能侦测 快速侦测反应 :100ns (min.) 过温保护 : 过温警示 ( 芯片接面温度 > 130 C) 过温断电 ( 芯片接面温度 > 170 C) 睡眠模式选项 : 睡眠电流 < 5uA ( 典型值 ) 残影消除 突波电流抑制 施密特触发器输入 芯片工作电压 :3.0V~5.5V 应用 LED 可变信息情报板 (VSM) 封装 SSOP28, TSSOP28E, QFN32 户外 / 室内 LED 显示屏 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 1

3 功能结构图 OUT0 OUT15 VLED Ghost Image Elimination VCC GND ALARM MODE Smart Detection Controller LED Open/Short Detector & Output short to GND Detector Temperature Control REXT Voltage Reference ENB -channel Constant Current Driver With 7-bit global brightness control 3 7 LAT 10 bit Latch Register bit Latch Register 10 DCK MODE 10/ bit Shift Register 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 2

4 脚位图 SSOP28 TSSOP28E VCC EN_B LAT CLK MODE OUT0 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT GND VLED REXT NA ALARM OUT15 OUT14 OUT13 OUT12 OUT11 OUT10 OUT9 OUT8 VCC EN_B LAT CLK MODE OUT0 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT GND VLED REXT NA ALARM OUT15 OUT14 OUT13 OUT12 OUT11 OUT10 OUT9 OUT8 QFN Top View OUT0 OUT1 PGND OUT2 OUT3 OUT4 OUT15 OUT14 PGND OUT13 OUT12 OUT11 ALARM OUT10 MODE PGND REXT OUT9 VCC GND OUT8 OUT7 ENB OUT6 LAT PGND CLK OUT5 VLED VLED 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 3

5 脚位定义 脚位号. 脚位名功能 SSOP28/TSSOP28: 1 QFN32: 28 VCC 芯片工作电源端. SSOP28/TSSOP28: 2 QFN32: 30 SSOP28/TSSOP28: 3 QFN32: 31 SSOP28/TSSOP28: 4 QFN32: 32 SSOP28/TSSOP28: 5 QFN32: 1 SSOP28/TSSOP28: 6 QFN32: 26 ENB LAT CLK MODE 输出使能端 : 高电平 ( H ) 时所有输出通道关闭低电平 ( L ) 时所有输出通道打开 锁存信号输入端, 影像数据于 LAT 上升沿时从移位寄存器传出, 反之则为锁存状态 同步时钟信号输入端 : 串行数据于时钟信号的上升沿被采样 串行数据输入端 串行数据输入模式选择 : 设为低电平 ( L ) 时输入数据为各输出通道导通 / 关闭信号 (SD 模式 ) 设为高电平 ( H ) 时输入数据作为 7 位整体亮度数据和 3 位错误侦测功能选择 (CD Mode) SSOP28/TSSOP28: 7~22 QFN32: 3~4,6~9, 11~14,~19,21~22 OUT0~15 沉入式恒定电流输出端 (open-drain) SSOP28/TSSOP28: 23 QFN32: 24 SSOP28/TSSOP28: 24 QFN32: 25 ALARM 串行数据输出端 警示信号输出端 (open-drain):( 应接一上拉电阻 (pull-high resistor)) 高电平 ( H ) 时, 正常运行状态, 低电平 ( L ) 时, 表示出现 LED 开 / 短路, 输出通道对地短路或芯片过热等异常 SSOP28/TSSOP28: 25 N/A 不需连接 SSOP28/TSSOP28: 26 QFN32: 27 REXT 外挂电阻端, 外挂电阻应接于 REXT 和 GND 之间以设定恒流值 SSOP28/TSSOP28: 27 QFN32: 2,23 VLED 接于 VLED 以消除残影. SSOP28/TSSOP28: 28 Thermal pad QFN32: 5,10,15,20,29 Thermal pad GND 接地端 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 4

6 输入及输出等效电路 1. CLK,, LAT, ENB, MODE 端口 ( 施密特触发器输入 ) 2. 端口 3. ALARM 端口 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 5

7 最大工作范围 (Ta=25 C, Tj(max) = 150 C) 特性符号最大工作范围单位 电源电压 VCC -0.3 ~ 7.0 V 输入电压 VIN -0.3 ~ VCC+0.3 V 输出电流 IOUT 90 ma 输出电压 VOUT -0.3 ~ 17 V 输入时钟频率 FCKI 30 MHz 接地端电流 IGND 1500 ma 散热功率 1 热阻系数 PD Rth(j-a) 1.56 ( SSOP28 : Ta=25 C) 5.00 ( TSSOP28E : Ta=25 C) 3.46 ( QFN32 : Ta=25 C) 80.0 (SSOP28 ) 25.0 (TSSOP28E ) 36.1 ( QFN32 ) 工作温度 Top -40 ~ 85 C 存放温度 Tstg -55 ~ 150 C 推荐工作参数 W C/W 特性符号条件最小值一般值最大值单位 电源电压 VCC V 2 输出电压 VOUT 驱动器电流导通 3 输出电压 VOUT 驱动器电流关闭 输出电流 输入电压 2/3VCC 17 IO OUTn 5 90 IOH VOH = VCC 0.2 V +1.2 IOL VOL = 0.2 V -1.4 VIH 0.7VCC VCC VCC = 3.3 V ~ 5V VIL VCC 输入时钟频率 FCKI 级联操作 30 MHz 锁存信号 (LAT) 脉冲宽度 tw LAT 15 时钟信号 (CLK) 脉冲宽度 tw CLK 13 使能信号 (ENB) 脉冲宽度 tw ENB 50 串行输入数据 () 启动时间 tsetup(d) 10 VCC = 5.0V 串行输入数据 () 保持时间 thold(d) 10 锁存信号 (LAT) 启动时间 tsetup(l) 10 锁存信号 (LAT) 保持时间 thold(l) 10 开 / 短路侦测反应时间 tdet 100 V ma V ns 封装于 4 层板 ( 无空气流通 ) 注意 : 如果 VOUT 高于 2/3VCC, 即被称为 LED 短路. 驱动器输出最大承受电压也包括任何的过冲电压 (overshoot), 均不可超过 17V. 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 6

8 电气特性 (VCC = 5.0 V, Ta = 25 C 除非另有说明 ) 特性符号测试条件最小值一般值最大值单位 输入电压 - 高电平 ( H Level) VIH CMOS 逻辑准位 0.7VCC VCC 输入电压 - 低电平 ( L Level) VIL CMOS 逻辑准位 GND 0.3VCC V 输出端漏电流 IOL VOH = 17 V ±0.1 ua 串行数据输出端 (S-OUT) 电压 VOL IOL = 1.4 ma 0.2 VOH IOH= 1.2 ma VCC-0.2 V 输出电流差异 ( 通道与通道间 ) *1 IOL1 ±1 ±3 % VOUT = 1.0 V Rrext = 3.9 KΩ 输出电流差异 ( 芯片与芯片间 ) *2 IOL2 ±3 ±6 % 输出电流对输出电压之变异率 % / VOUT Rrext = 3.9 KΩ VOUT = 1 V ~ 3 V ±0.1 ±0.5 输出电流对电源电压之变异率 % / VCC Rrext = 3.9 KΩ ±1 ±4 线性差分 DLE Rrext = 3.9 KΩ ±1 LSB % / V LED 开路侦测起始电压 V(od) 0.2 所有输出通道导通 LED 短路侦测起始电压 V(sd) 2/3VCC V 过温警示起始温度 T(alm) 130 芯片接面温度过温断电起始温度 T(sht) 170 电源端电流 I DD(off) I DD(off) I DD(on) I DD(off) I DD(on) 上电后除 VCC 和 GND 外, 令其他所有脚位开路 当输入信号为稳态 Rrext = 3.9 KΩ 搜有输出通道关断 当输入信号为稳态 Rrext = 3.9 KΩ 所有输出通道打开 当输入信号为稳态 Rrext = 900 Ω 搜有输出通道关断 当输入信号为稳态 Rrext = 900 Ω 搜有输出通道打开 I DD(sleep) 睡眠电流 ( 恒稳态 ) ua C ma *1 输出电流差异 ( 通道与通道间 ) 定义为 [ 任意 Iout - 平均 Iout] 与 [ 平均 Iout] 的比率 平均 Iout =(Imax+Imin) /2 *2 输出电流差异 ( 芯片与芯片间 ) 定义为任选两芯片之最大输出电流与最小输出电流的落差范围 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 7

9 交流特性 (VCC = 5.0V, Ta = 25 C 除非另有说明 ) 特性符号测试条件最小一般最大单位 延迟反应时间 ENB-to-OUT0 26 LAT-to-OUT0 tplh VIH = VCC 31 ( 低电位到高电位 ) CLK-to- VIL = GND 19 ENB-to-OUT0 Rrext = 3.9 KΩ 31 延迟反应时间 LAT-to-OUT0 tphl VL = 5.0 V 32 ( 高电位到低电位 ) CLK-to- RL = 0.18 KΩ 20 电流输出端电位爬升时间 tor CL = 13 pf 10 电流输出端电位下降时间 tof Ra = 500 Ω 10 输出通道间导通时间延迟 (OUT(n)-to-OUT(n+1)) tod 3 ns 交流特性 (VCC = 3.3V, Ta = 25 C 除非另有说明 ) 特性符号测试条件最小一般最大单位 延迟反应时间 ENB-to-OUT0 36 LAT-to-OUT0 tplh VIH = VCC 37 ( 低电位到高电位 ) CLK-to- VIL = GND 22 ENB-to-OUT0 Rrext = 3.9 KΩ 35 延迟反应时间 LAT-to-OUT0 tphl VL = 5 V 37 ( 高电位到低电位 ) CLK-to- RL = 0.18 KΩ 23 电流输出端电位爬升时间 tor CL = 13 pf 14 电流输出端电位下降时间 tof Ra = 500 Ω 14 输出通道间导通时间延迟 (OUT(n)-to-OUT(n+1)) tod 3 ns VCC VL Ra VCC RL ALARM OUTn REXT Rrext CL CL Switching Characteristics Test Circuit 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 8

10 时序图 1. CLK-, 2. CLK-LAT 3. LAT-OUT0 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 9

11 时序图 4. ENB-OUT0 5. OUTn+1-OUTn 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 10

12 输出恒流设定 个通道的输出恒流值由一外挂电阻设定, 该外挂电阻连接于 REXT 与 GND 端 改变外挂电阻值, 可以在 5mA 到 90mA 的范围内调节电流 REXT 端的参考电压 (Vrext) 约为 1.23V 输出恒流值可由下列的图表及等式概略计算 : V rext (V) I max 64 R rext (Ω) 为使恒流表现理想, 需设法保证输出电压稳定 使用者可参考上图来设定任一输出恒流值 (Iout) 所要求的最小输出电压 (Vout) 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 11

13 串行数据接口 当串行数据输入模式选择 (MODE) 脚位设为低电平时, 串行输入数据将于时钟信号 (CLK) 上升沿时传入 比特移位寄存器 数据 1 代表其对应的输出通道之电流导通, 数据 0 则表示关断 数据于锁存信号 (LAT) 上升沿的同时传入 比特锁存器中 ; 反之, 数据则被拴锁住 锁存脉冲应于 一帧影像数据的最后一个时钟信号之下降沿 之后送出 串行输出数据 () 于时钟信号上升沿的同时从原芯片传出至下一级芯片 当使能信号 (ENB) 维持在高电平时, 所有输出通道关断 ; 反之, 使能信号 (ENB) 在低电平时, 所有输出通道打开 CLK high low high low LAT previous data Tsetup(L) high low high low ENB high low IOUT0 on off IOUT1 on off IOUT2... IOUT12... on off on off IOUT13 on off IOUT14 on off IOUT15 on off 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 12

14 操作模式 DM13G 基于串行数据输入模式 (MODE) 的不同有两种操作模式 表 1 中指出了可行的操作 模式 当 MODE 为高电平时, 芯片工作在 CD 模式, 该模式用于设置 7 比特整体亮度控制数据和 3 比特错误侦测类型数据 ; 当 MODE 为低电平时, 芯片转为 SD 模式, 该模式下串行数据输入端输 入图像数据 表 1. 两种操作模式 串行数据输入模式 (MODE) 端 操作模式 移位寄存器 低电平 L 串行数据输入模式 (SD 模式 ) -bit 高电平 H 控制数据输入模式 (CD 模式 ) 10-bit SD 模式数据格式 MSB LSB 0 OUT15 OUT14 OUT13 OUT12 OUT11 OUT4 OUT3 OUT2 OUT1 OUT0 Shift-in first SD 模式 : MODE = 低电平 (-bit 移位寄存器 ) CD 模式数据格式 MSB LSB 0 G[6] G[5] G[4] G[3] G[2] G[1] G[0] ER[2] ER[1] ER[0] Shift-in first G[6:0] : Global Brightness Control Data ER[2:0] : Function Selection CD 模式 MODE = 高电平 (10-bit 移位寄存器 ) a. 整体亮度调节 在单色或全彩 LED 显示应用中, 为了获得更好的均一性, 模组之间或 IC 之间的白平衡, 或为更好的适应环境光亮度,DM13G 提供一种方便的方法, 即通过同时对整体输出电流进行微调实现 要线性的调整电流, 系统只需将串行数据输入模式 (MODE) 脚位设为高电平, 然后通过串行数据输入 () 脚位传入 7 比特数据码, 最高有效位 (MSB) 应该首先移入 以输入数据 G[6:0]= (MSB) (LSB) 为例, 则调整后的电流等于原基准电流乘以以下比例 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 13

15 DM13G ( ) 比特数据将保持不变直到下一个新的数据被锁存 此处需注意, 芯片上电后, 预设的 7 比特码 即存在于芯片记忆体中, 因此预设情况下输出电流为基准电流的一半 b. 功能选择 DM13G 提供一系列功能, 如表 2 所示 上电后的预设功能为 LED 开路实时侦测 (ER[2:0]=111). 表 2. 功能表 ER[2:0] 功能 000 正常 001 输出通道对地短路智能侦测 010 LED 短路智能侦测 011 LED 开路智能侦测 101 睡眠 110 LED 短路实时侦测 111 LED 开路实施侦测 b.1 LED 开 / 短路侦测 ( 实时侦测或智能侦测 ) DM13G 的开 / 短路侦测结果可由警示信号输出端 ALARM 或串行输出数据 () 获得 当设置 ER[2:0] = 111 或 011 启动开路监测后, 若有电流流过输出通道, 而该通道输出电压却低于 0.2V, 则判定 LED 开路 当设置 ER[2:0] =110 或 010 启动短路侦测后, 若输出通道有电流流过, 但该通道输出电压却高于 2/3VCC 时, 则判定 LED 短路 当 DM13G 工作在实时开 / 短路侦测模式时 (ER[2:0]=111/110), 在串行数据输入模式 (MODE) 为低电平的条件下, 一个锁存脉冲 (LAT) 后, 此时如与某通道对应的移位寄存器中的影像数据为 1, 且输出使能端启动 (ENB= L ),DM13G 将执行 LED 开 / 短路侦测并将结果保存于该通道对应的移位寄存器 通过从串行数据输出端读回错误信息, 控制器可判断由各通道驱动的 LED 的状况 不论是开路或短路侦测, 如果输入至通道的影像数据为 1, 而串行输出数据为 0, 则该通道驱动的 LED 工作正常 ; 反之, 如果此时串行数据输出为 1, 则 LED 发生异常 如果输入的影像数据为 0 或者使能端未启动, 即为高电平 (ENB= H ), 将不对该通道执行任何侦测, 此时串行数据输出将为 0 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 14

16 MODE CD MODE SD MODE Real-Time Monitor Real-Time Monitor CD[0] SD[15]a SD[14]a SD[0]a SD[15]b SD[14]b SD[0]b CLK ns ns LAT ENB CD[9] SD[15]a E[15]a E[14]a E[13]a E[0]a SD[15]b E[15]b 0... DM13G 15 CD[x] : CD mode data SD[x] : SD mode data E[x] : Error Message of LED open/short detection 通过上述工作原理, 控制器可以持续从串行数据输出端读回数据 由读回数据中出现的 1, 可以精确查明哪个通道存在 LED 异常 该模式下, 侦测持续进行, 且不存在影像模式和侦测模式的切换, 所以并不会打断影像数据传输和显示输出, 因此被称为 实时侦测 DM13G 另外特别设计了一种 智能 侦测方法 当 ER[2:0]=011 或 010 时,DM13G 工作在 LED 开 / 短路智能侦测状态 当串行数据输入模式 (MODE) 从高电平变为低电平时, 智能侦测将于 MODE 变为低电平后的第一个锁存脉冲 (LAT) 出现后启动, 此时芯片将自动完成下列动作 : 首先, 所有的通道将被强制打开 其次, 芯片将最大输出电流降至 50uA 左右, 并持续到下一个时钟脉冲 (CLK) 的下降沿 在此时钟脉冲的下降沿之后, 输出电流将会恢复至正常值 系统可通过时钟数目来判断出现 LED 异常的通道位置 一次智能侦测结束后, 芯片会恢复到实时侦测状态 此时, 如果从串行数据输出端读到数据 1, 则可精确指出哪个通道出现 LED 异常 MODE CD MODE SD MODE Smart Detection (small current) Return to normal current operation Return to Real-Time LED Open/short Detection CD[0] SD[15]a SD[14]a SD[0]a SD[15]b SD[14]b SD[0]b CLK ns ns LAT ENB CD[9] SD[15]a Es[15]a Es[14]a Es[13]a Es[0]a SD[15]b E[15]b 0... DM13G 15 CD[x] : CD mode data SD[x] : SD mode data Es[x] : Error Message of smart LED open/short detection E[x] : Error Message of real-time LED open/short detection 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 15

17 DM13G 在进行 LED 开 / 短路侦测时反应速度非常快 ( 最短反应时间 100ns) 同时提供针对 侦测于无形 的多重解决方案, 如警示信号输出 实时监测和智能侦测以供选择 因此,DM13G 可以更加灵活的适应系统的需求 b.2 输出通道对地短路侦测 DM13G 的输出通道对地侦测结果可由警示信号输出端 (ALARM) 或串行输出数据 () 获得 设置 ER[2:0] = 001 以启动输出短路侦测, 当输出通道关闭但该通道输出电压低于 0.2V 时, 则判定该通道短路 ( 接地 ) 当串行数据输入模式 (MODE) 从高电平转为低电平时, 输出通道短路 ( 接地 ) 侦测将于 MODE 变为低电平后的第一个锁存脉冲 (LAT) 出现后启动, 芯片将自动强制关闭所有通道, 并开始检测输出短路错误, 直到下一个时钟脉冲的下降沿出现 该时钟信号下降沿过后, 输出电流将恢复到正常值 输出短路侦测完成后, 芯片会恢复到预设侦测模式, 即 LED 开 / 短路实时侦测状态 上述过程中, 如果从串行数据输出端读到数据 1, 则可精确指出哪个通道出现异常 MODE CD MODE SD MODE Smart Output Short Detection (all outputs turn off) Return to normal current operation Return to Real-Time LED Open Detection CD[0] SD[15]a SD[14]a SD[0]a SD[15]b SD[14]b SD[0]b CLK ns ns LAT ENB CD[9] SD[15]a Eo[15]a Eo[14]a Eo[13]a Eo[0]a SD[15]b E[15]b 0... DM13G 15 CD[x] : CD mode data SD[x] : SD mode data Eo[x] : Error Message of smart output short detection E[x] : Error Message of real-time LED open detection b.3 正常模式 当 DM13G 工作在正常状态下 (ER[2:0]=000), 芯片将进行 LED 开路实时侦测, 但此时串行数据输出 脚位将传输串行输入数据, 而非错误信息 虽然 将不再送出错误信息, 但用户可通过警示信号输出端 (ALARM) 判断 LED 开路异常 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page

18 CD MODE SD MODE MODE Normal Type CD[0] SD[15]a SD[14]a SD[0]a SD[15]b SD[14]b SD[0]b SD[15]c SD[14]c CLK LAT ENB (SDO=L) CD[9] SD[15]a SD[14]a SD[13]a SD[0]a SD[15]b SD[14]b 0... DM13G 15 CD[x] : CD mode data SD[x] : SD mode data b.4 睡眠 当 ER[2:0]=101 时,DM13G 将进入睡眠模式, 此时所有的输出通道关闭, 且芯片电源端电流 (IDD) 将降至 5uA 以下 (VCC=5V) 此功能专为由电池供电的显示系统设计. 功能自动恢复表 初始功能正常智能对地输出短路侦测智能 LED 短路侦测智能 LED 开路侦测实时 LED 短路侦测实时 LED 开路侦测 自动恢复至正常实时 LED 开路侦测实时 LED 短路侦测实时 LED 开路侦测实时 LED 短路侦测实时 LED 开路侦测 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 17

19 时序图 ( 整体亮度调节与开 / 短路侦测选择设定 ) CD MODE SD MODE MODE Real-Time open/short detection Real-Time open/short detection CDn[9] CDn[8] CD1[0] SDn[15]a SDn[14]a SD1[0]a SDn[15]b SDn[14]b SD1[0]b SDn[15]c SDn[14]c SD1[0]c CLK n 1 2 n 1 2 n 1 2 n 100ns 100ns LAT n CDn[9] SDn[15]a En[15]a En[14]a En[13]a E1[0]a SDn[15]b En[15]b En[14]b En[13]b E1[0]b SDn[15]c 1[0] 1[15] 2[0] 2[15] n-1][0] n-1[15] n[0] n[15] DM13G 2 DM13G... n-1 DM13G n DM13G n CD[x] : GBC mode data SD[x] : SD mode data E[x] : Error Message of real-time LED open/short detection CD MODE (a) SD MODE (a) CD MODE (b) SD MODE (b) MODE open/short detection CDn[9]a CDn[8]a CD1[0]a SDn[15]a SDn[14]a SD1[0]a CDn[9]b CDn[8]b CD1[0]b SDn[15]b SDn[14]b SD1[0]b CLK n 1 2 n n 1 2 n 100ns LAT n CDn[9]a SDn[15] En[15]a CDn[9]a CDn[8]a CDn[7]b CD1[0]a CDn[9]b En[15]a En[14]a En[13]a E1[0]a SDn[15]b 1[0]... 1[15] 2[0]... 2[15] n-1][0] n-1[15] n[0] DM13G DM13G n-1 DM13G n DM13G n n[15] GBC[x] : GBC mode data E[x] : Error Message of real-time LED open/short detection SD[x] : SD mode data 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 18

20 输出通道分时导通系统于同一时间导通所有输出通道可能造成较大的突波电流 (in-rush current) 为减轻所造成的影响,DM13G 设计让每个输出通道间存在一固定的单位延迟 个通道被平均分为 4 组, 例如,IOUT0 ~ IOUT3 组成第一组 ;IOUT4 ~ IOUT7 为第二组 各组之间没有延迟, 组内各通道间延时为 3ns(VDD=3.3V 典型值 ) 警示功能通过接一上拉电阻到警示信号输出端 (ALARM), 可检测芯片工作状态 在正常情况下, ALARM 脚位输出为高电平, 而当发生异常时 ( 如,LED 开 / 短路 通道短路接地 芯片过热 ) 则转为低电平 用户可通过以下真值表以确定不同的状况 错误侦测时间 错误报告时间 ER[2:0] ENB ENB ALARM 错误状态 可忽略可忽略可忽略 H 正常状态 111 / 011 L / 可忽略 L H L LED 开路问题 110 / 010 L / 可忽略 L H L LED 短路问题 001 可忽略 L H L 输出通道短路问题 可忽略 可忽略 H H L 过温警示或断电 当在 SD 模式下 ( 即 MODE 为低电平 ), 锁存信号为高电平,ALARM 脚位将复位到高电平并开始重新侦测 在下一个时钟脉冲的上升沿之后,ALARM 端会把错误报告发送出来 当使能端为低电平 (ENB= L ) 时,ALARM 脚位送出 LED 开 / 短路或通道短路的错误报告 ; 当使能端为高电平 (ENB= H ) 时,ALARM 脚位送出过温异常报告 如此持续到下一个锁存信号 (LAT) 的上升沿, 便完成一个 ALARM 周期 具体参考下页时序图 : 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 19

21 MODE Error Detection Time Error Report Time CLK... LAT ENB ALARM Reset to H" Open/short or Output short Error Report Thermal Error Report 在实际应用中, 为了简化电路设计和反馈回路, 控制器可以将所有的 ALARM 脚位接到同一个上拉电阻 过温警示与断电 在芯片工作过程中, 当其接面温度达到 130 时,ALARM 脚位将输出低电平并产生一个警示信号 (ENB= H ) 推荐的散热方案为启动散热风扇, 降低输出电流等 如果没有启动任何的散热措施, 接面温度可能持续升高, 而一旦达到大约 170, 芯片会自动将所有电流输出通道关断 此后芯片逐渐降温, 直到接面温度恢复到安全工作温度, 亦即低于 130 C, 此时 ALARM 脚位将复位至高电平, 关闭警示, 并同时重新启动所有电流输出通道的运行 若长时间于高温环境下操作, 将可能造成芯片的永久损坏 Normal Normal Alarm 130 C 170 C Normal Normal Alarm Alarm + Shutdowm 残影消除 DM13G 提供残影消除功能 当用户关闭输出通道时, 残影消除电路会将输出通道电压拉高到 VLED-0.7V, 以确保输出通道确实完全被关闭 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 20

22 散热功率 需注意到芯片的散热功率受到封装与环境温度的限制, 故在设定最大输出电流值时需考虑到实际操作条件 最大可散热功率可由下式来计算 : 散热功率 (Power Dissipation, Pd(W)) 与环境温度 (Ambient Temperature = Ta ( C)) 的关系可以参考下图 : Power Dissipation Pd(W) TSSOP28E QFN32 SSOP Ambient Temperature Ta( ) 芯片消耗的功率可由下列等式来决定, 务必使实际功率小于可允许最大散热功率 : 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 21

23 封装外型尺寸 SSOP28 SSOP28 - DIMENSION (mm) SYMBOLS MIN. NOM. MAX. A A A b D E e BSC E L θ 0-8 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 22

24 封装外型尺寸 TSSOP28E TSSOP28(EP) 尺寸 (mm) 符号最小一般最大 TSSOP28(EP) 尺寸 (mm) 符号最小一般最大 A A e L BSC REF A b D L S θ 0-8 E E2 2.70REF E BSC D1 4.98REF 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 23

25 封装外型尺寸 QFN32 QFN32 尺寸 (mm) 符号 最小 一般 最大 A A A REF b D E e BSC L k EXPOSED PAD D E 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 24

26 这里列出的产品是设计用于普通电子产品的应用, 例如电器 可视化设备 通信产品等等 因此, 建议这些产品不应该用于医疗设施 手术设备 航天器 核电控制系统 灾难 / 犯罪预防设备等类似的设备 这些产品的错误使用可能直接或间接导致威胁到人们的生命或者导致伤害或财产损失 点晶科技将不负任何因这些产品的错误使用而导致的责任 任何人若购买了这里所描述的任何产品, 并含有上述意图或错误使用, 应自负全责与赔偿 点晶科技与它的通路商及所有管理者和员工必捍卫己方抵拒所有索赔 诉讼, 及所有因上述意图或操作而衍生的损坏 成本 及费用 点晶科技保有以下权利 : 可对他们的产品做改动, 在不通知的前提下停止做某项产品或服务, 建议顾客去获得最新版本的相关核实信息, 并且保证顾客在订单前就能及时完整的获得此信息 位恒流 LED 驱动芯片具错误侦测功能版本 :A.002 Page 25

Microsoft Word - CNSP-DM137-PRE.003.doc

Microsoft Word - CNSP-DM137-PRE.003.doc 版本 : PRE.003 发布尔日期 : 2006/12/11 文件名称 总页数 : 24 : CNSP--PRE.003.doc 16 位 LED 恒流驱动芯片 具错误侦测功能 16 位 LED 恒流驱动芯片 具错误侦测功能 芯片概述 是专为 LED 显示应用而设计的沈入电流式恒流驱动芯片 内建移位缓存器, 数据锁存器, 以及恒流电路组件于硅 CM 芯片上 16 个输出通道的电流值可由一外挂电阻调整

More information

Microsoft Word - CNSP-DD312-PRE.001.doc

Microsoft Word - CNSP-DD312-PRE.001.doc 版本 : PRE.001 出版日期 : 200/8/1 文件名称 总页数 : 14 : CNSP--PRE.001.doc 单通道大功率 LED 恒流驱动器 具错误侦测功能 单通道大功率 LED 恒流驱动器 具错误侦测功能 产品概述 是专为大功率 LED 应用所设计的恒流驱动器 芯片内含恒流产生电路, 可透过外挂电阻来设定输出恒流值 透过芯片的使能端可以控制输出通道的开关时间, 切换频率最高达一兆赫

More information

DM117

DM117 Version : PRE.001 Issue Date : 2006/5/1 File Name : SP--PRE.001.doc Total Pages : 25 16-bit Constant Current LED Driver with Error Detection 16-bit Constant Current LED Driver with Error Detection General

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

Microsoft Word - SP-DM631-A.003.doc

Microsoft Word - SP-DM631-A.003.doc Version : A.003 Issue Date : 2008/05/12 File Name Total Pages : 24 : SP--A.003.doc 16-CHANNEL CONSTANT CURRENT LED DRIVER WITH PROGRAMMABLE PWM OUTPUTS 9-7F-1, Prosperity Road I, Science Based Industrial

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Microsoft Word - SP-DM412-A.003.doc

Microsoft Word - SP-DM412-A.003.doc Version : A.003 Issue Date : 2007/08/27 File Name : SP--A.003.doc Total Pages : 35 3-CHANNEL CONSTANT CURRENT LED DRIVERS WITH LED OPEN DETECTION 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074>

<4D6963726F736F667420506F776572506F696E74202D204C4544C0B3A5CEBB50C558B0CAA4E8A6A15FC249B4B9ACECA7DE5F56322E707074> LED 應 用 與 驅 動 方 式 點 晶 科 技 June 2008 大 綱 LED 簡 介 LED 混 色 原 理 LED 驅 動 方 式 LED 應 用 實 例 Q&A LED Light Emitting Diode (1) LED 是 利 用 電 能 直 接 轉 化 為 光 能 的 原 理, 在 半 導 體 內 正 負 極 2 個 端 子 施 加 電 壓, 當 電 流 通 過, 使 電 子

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

概述

概述 产品描述 DE1102 是一款 LED 线性恒流驱动芯片, 提供单通道恒定输出电流, 电流输出范围从 5~500mA, 电流数 值可以通过外接电阻 (RFB) 进行设定, 输出端可承受最大电压达 32V 芯片的工作电压范围为 3.3~5.5V 低至 0.3V 的电流设定电压以及 200mV 低饱和压降驱动级, 使得 DE1102 能够在宽驱动电压范围内提供稳定 的电流输出, 极大增强恒流灯条模组的级联能力

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

×××芯片用户手册

×××芯片用户手册 LXY28162 用户手册 2008 年 07 月 V1.0 版权所有, 侵权必究深圳市灵芯宇科技有限公司第 1 页, 共 13 页 目录 1 芯片功能说明... 4 1.1 芯片主要功能特性... 5 1.2 芯片应用场合... 5 1.3 芯片基本结构描述... 5 2 芯片特性说明... 6 2.1 芯片的封装和引脚... 6 2.2 芯片最大极限值... 7 2.3 芯片电气特性 (VDD=5)...

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

untitled

untitled 力 2 說 2 DS2DB 列 說 Dimamotor 行 不 若 料 聯 力 2 說 1. ---------------------------------------------------------------------------2 2. -------------------------------------------------------------------- 2 3.

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

规 格 空 转 回 转 数 型 号 锯 轮 直 径 每 分 总 长 度 净 重 安 全 级 别 SG50 5 毫 米 欧 洲 国 家 0,000 其 它 国 家 9,000 346 毫 米 4.4 公 斤 /II 由 于 研 发 的 不 断 进 展 生 产 者 保 留 变 更 规 格 不 另 行 通

规 格 空 转 回 转 数 型 号 锯 轮 直 径 每 分 总 长 度 净 重 安 全 级 别 SG50 5 毫 米 欧 洲 国 家 0,000 其 它 国 家 9,000 346 毫 米 4.4 公 斤 /II 由 于 研 发 的 不 断 进 展 生 产 者 保 留 变 更 规 格 不 另 行 通 使 用 说 明 书 电 动 墙 锯 SG50 型 004495 双 重 绝 缘 重 要 事 项 : 使 用 前 请 阅 读 规 格 空 转 回 转 数 型 号 锯 轮 直 径 每 分 总 长 度 净 重 安 全 级 别 SG50 5 毫 米 欧 洲 国 家 0,000 其 它 国 家 9,000 346 毫 米 4.4 公 斤 /II 由 于 研 发 的 不 断 进 展 生 产 者 保 留 变 更

More information

2. 596mm 690mm 1005mm 69kg DD 4045rpm30rpm60rpm rpm 4050rpm 8.0kg ( ) 8.0kg ( ) 5.0kg ( ) 30L 90L 64L 33dB 45dB 43dB 51dB MPa φ520mm

2. 596mm 690mm 1005mm 69kg DD 4045rpm30rpm60rpm rpm 4050rpm 8.0kg ( ) 8.0kg ( ) 5.0kg ( ) 30L 90L 64L 33dB 45dB 43dB 51dB MPa φ520mm XQG80-518HD/XQG80-528H : : XQG80-518HD : * * *, *, * 3,, * * 8 :, * XQG80-518HD * DD, 1. / 8. / 2. 9. 3. 10. 4. 11. 5. 6. 7. 1. XQG80-518HD/528H B120004 220V 8.0kg 50Hz 8.0kg 200W 5.0kg 1400W 90L 1450W

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

Microsoft Word - TM1914_V1.1.doc

Microsoft Word - TM1914_V1.1.doc 特性描述 是单线三通道 LED 恒流驱动专用电路, 可通过双通道数字接口 ( F) 互相切换输入, 与 DO 口级联, 外部控制器只需单线即可对芯片进行控制 芯片内部集成有 MCU 单线双通道数字接口 数据锁存器 LED 恒流驱动 PWM 辉度控制等电路 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管 点光源等 LED 装饰类产品 本产品性能优良, 质量可靠 功能特点 采用功率 CMOS 工艺

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

方框图 OTP VIN OUT OCP 3Ω Vref CE En En Soft Start GND 引脚图 TO92-3 SOT89-3 SOT xx-7 OUT 5 NC 4 75xx-7 5xx GND VIN OUT GND VIN OUT 1 2 3

方框图 OTP VIN OUT OCP 3Ω Vref CE En En Soft Start GND 引脚图 TO92-3 SOT89-3 SOT xx-7 OUT 5 NC 4 75xx-7 5xx GND VIN OUT GND VIN OUT 1 2 3 3V, 1mA 带保护功能的 TinyPower TM LDO 特性 低功耗 低压降 较低的温度系数 高输入电压 ( 高达 3V) 输出电压精度 :±2% 过流保护 过温保护 芯片使能 / 除能功能 封装类型 :TO92-3/SOT89-3/SOT23-5 概述 HT75xx-7 系列是一组 CMOS 技术实现的低功耗高电压稳压器 CMOS 技术可确保其具有低压降和低静态电流的特性 输入电压可高达

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information