<4D F736F F D20CAB5D1E9D2BB204D444B2D41524D20C8EDBCFED3EB41524D20B4A6C0EDC6F7BBF9B1BEB1E0B3CC2E646F6378>

Size: px
Start display at page:

Download "<4D F736F F D20CAB5D1E9D2BB204D444B2D41524D20C8EDBCFED3EB41524D20B4A6C0EDC6F7BBF9B1BEB1E0B3CC2E646F6378>"

Transcription

1 实验一 MDK-ARM 软件与 ARM 处理器基本编程 1. MDK-ARM 开发工具包简介 MDK-ARM(ARM 微控制器开发工具包 ) 是 ARM 公司推荐的用于基于 ARM 处理器的微控制器的完整软件开发环境 MDK-ARM 由 Keil 公司 ( 已被 ARM 公司收购 ) 提供, 利用了该公司先进的 µvision 集成开发环境, 适用于基于 Cortex -M Cortex-R4 ARM7 和 ARM9 处理器的微控制器芯片开发 MDK-ARM 专为微控制器应用程序而设计, 易于学习和使用, 同时具有强大的功能, 能满足大多数要求苛刻的嵌入式应用程序的需求 图 1 MDK-ARM 模块示意图 MDK-ARM 微控制器开发工具包的主要性能和特征包括 : 完全支持 Cortex-M Cortex-R4 ARM7 和 ARM9 微控制器芯片 集成行业领先的 ARM C/C++ 编译工具链

2 集成 µvision 集成开发环境, 包括项目管理器 编辑器和调试器 集成 Keil RTX 确定性 空间占用小的实时操作系统 ( 开源代码 ) 集成 TCP/IP 网络套件, 提供多种协议和各种应用程序 为 USB 设备和 USB 主机堆栈配备标准驱动程序类 ULINKpro 支持对正在运行的应用程序进行即时分析并记录执行的每条 Cortex-M 指令 可提供执行程序的完整的代码覆盖率信息 集成执行性能分析器和性能分析器支持程序优化 提供大量示例项目可帮助快速熟悉 MDK-ARM 强大的内置功能 符合 CMSIS Cortex 微控制器软件接口标准 MDK-ARM 具有四种版本 :MDK-Lite MDK 基础版 MDK 标准版和 MDK 专业版 所有版本都提供完整的 C/C++ 开发环境和调试支持,MDK 专业版主要包括了丰富的中间件库 其中,MDK-Lite 版提供免费下载, 不需要序列号或许可证密钥, 但是其所能开发的程序大小限制在 32KB 以内 下载网址在 2. µvision 集成开发环境简介

3 µvision 集成开发环境 ( Integrated Development Environment, IDE) 基于窗口设计, 是集项目管理 源代码编辑 编译汇编 程序调试和全功能仿真于一体的集成开发环境, 可以帮助开发者快速创建嵌入式程序开始嵌入式软件开发 µvision IDE 的基本界面环境如图 2 所示 图 2 µvision IDE 软件环境界面 如图 3 所示,µVision IDE 集成有多种嵌入式程序开发所需的模块, 包括项目管理器 源代码编辑器 Make 工具链 程序仿真调试器等, 并能完成闪存 Flash 编程功能 以下, 我们重点介绍其中的器件数据库 Make 工具链和程序仿真调试器

4 器件数据库 µvision 器件数据库提供了一种便捷的方式来选择和配置 ARM 微控制器芯片与项目参数 它包括了预配的设置, 因此可以使软件开发者完全集中于应用需求 此外, 我们还可以添加自己的芯片器件, 或者改 变已有的设置 图 3 µvision IDE 嵌入式程序模块 Make 工具链 针对 MDK-ARM 平台,µVision IDE 在 Make 工具链中集成了完整的 ARM 编译工具 ( 之前也被称为 ARM RealView 编译工具 ), 包括 : armcc:arm C/C++ 编译器, 将 C/C++ 程序源代码翻译成可执行的机器语言, 可以同时支持 ARM 和 Thumb 指令的生成, 具有代码大小和性能优化功能, 支持内嵌汇编器 内联函数 CPU 寄存器参数传递和可重入运行时类库, 并支持 IEEE-754 兼容的单双精度浮点数据处理 MicroLib:Microlib 类库, 专门针对基于 ARM 的嵌入式应用 C 语言程序开发的高度优化的库 与 ARM 编译工具链集成的标准

5 C 库相比,Microlib 提供了对于多种嵌入式系统需要的重要的代码大小优势 armasm:arm 宏汇编器, 将汇编语言程序翻译成可执行的机器语言, 支持标准的宏处理和条件汇编控制 armlink:arm 连接器, 将多个将一个或多个由编译器或汇编器生成的目标文件外加库连接成为可执行文件或新的库文件, 支持静态栈分析 armar:arm 库管理工具, 可以在标准格式 ar 库内有效管理和维护多个 ELF 目标文件集合 fromelf:arm ELF 工具, 可以帮助处理由编译器 汇编器和连接器生成的 ARM ELF 目标文件和映像文件 µvision Debugger 调试器 µvision Debugger 调试器完全集成于 µvision IDE 集成开发环境之中, 可以实现以下功能 : 对 C/C++ 源代码或汇编级代码进行反汇编, 并在各种单步执行和 视图模式下, 随着程序执行将反汇编代码与源代码相对应 多种断点设置方式, 包括访问和复杂断点

6 支持设置书签, 便于快速查找和定义关键点 查看和修改内存 变量和寄存器的值 列出包括栈变量在内的程序调用树 查看微控制器片上外设的状态 支持调试命令或类似 C 的脚本函数功能 执行分析功能可以显示执行时间, 以及每条指令所需执行周期 为安全攸关应用测试进行代码覆盖率分析 多种分析工具 指令跟踪能力和窗口调整功能 µvision 调试器支持两种操作模式 : 仿真器 (Simulator) 模式和目标 (Target) 模式 仿真器模式将 µvision 调试器配置成纯软件来精确的仿真目标系统, 包括指令和大多数片上外设 在此模式下, 我们可以在还没有硬件的情况下测试应用代码, 便于我们能够快速开发可靠地嵌入式软件 仿真器模式支持 : 不需要硬件环境在桌面电脑上就可以进行软件测试 基于功能的早期软件调试来改善软件可靠性 支持硬件调试器无法实现的断点功能

7 理想的输入信号, 没有硬件调试器的噪声 在信号处理算法过程中单步执行 检测出那些会损坏真实硬件外设的失效场景 目标模式将 µvision 与真实硬件连接起来, 可以支持对目标开发板的调试, 包括单步执行 设置断点和查看内存等有用的调试方法 本次实验主要使用仿真器仿真对软件进行调试, 关于使用目标模式调试开发板在下一次实验中重点介绍 3. 使用 µvision 集成开发环境 本小节, 我们开始熟悉 µvision IDE 的软件界面 通过开始菜单启动 Keil µvision 如图 4 所示,µVision IDE 是一个完全基于窗口的程序开发环境, 支持窗口的重排 拖拽等窗口程序基本操作 与通常的 Windows 软件相似,µVision IDE 软件界面包括基本的菜单栏 (Menu bar) 工具栏(Toolbars) 以及状态栏 (Status bar), 此外还包括断点和书签设置 命令行提示与输入等功能

8 图 4 µvision IDE 软件界面指示图 为了便于理解, 我们将 µvision IDE 中间的主显示区域定义划分为 以下三个窗口区域, 如图 5 所示 项目窗口区域 : 位于屏幕显示的左侧部分, 默认显示项目窗口 函数窗口 图书窗口和寄存器窗口 编辑器窗口区域 : 位于屏幕显示的中间和右侧部分, 可以修改源代码 查看性能和分析信息, 并检查反汇编代码

9 输出窗口区域 : 位于屏幕显示的下部, 提供与调试 存储器 定 义符号 调用栈 局部变量 命令 浏览信息以及文件查找结果 等相关的信息 图 5 窗口区域划分示意图 窗口的位置和大小可以根据需要调整 必要时, 我们可以通过调用 Window Reset Current Layout 菜单, 将窗口排布恢复到默认状态 µvision IDE 有两种工作模式 : 构建 (Build) 模式和调试 (Debug) 模式 针对两种不同的模式, 屏幕设置 工具栏设置以及项目选项的也相应不同 文件工具栏在两种模式下都会使能, 而调试工具栏与构建工具栏分别在各自对应的模式下使能 所有按钮 图表和菜单按照工作模式而使能, 当不可用时则显示为灰色

10 构建模式为标准工作模式 此模式下, 我们可以编写应用代码, 配 置项目, 选择目标硬件和器件 同时, 我们还将编译 汇编和连接源 程序, 修正可能的错误, 最终生成可执行的目标文件 调式模式下主要进行软件代码的仿真与调试 在调试模式下, 我们 也可以修改一些通用设置并编辑源代码文件, 但是这些修改只能在返 回构建模式后才能有效 只有那些与调试相关的设置才会立即生效 µvision IDE 的大部分功能都可以通过调用相应的菜单或工具按钮来 实现 这些菜单与工具栏的操作与大多数 Windows 程序很类似, 我们 将在后面的实验中逐步学习和熟悉 具体的说明请参见参考文献 [1] 4. 创建嵌入式程序 创建一个新的嵌入式项目包括如下几个步骤 : 1) 新建项目文件 从菜单栏选择 Project New µvision Project, 打开一个标准对话框, 如图 6 所示 在对话框中为新建项目选择所在文件夹和项目文件名称, 单击 Save 按钮 建议为每一个新建项目选择一个独立的文件夹, 以便于项目文件管理

11 单击 Save 按钮后,µVision 弹出 Select Device 对话框, 需要我们选择项目的目标微控制器型号 Select Device 对话框中列出了 µvision 器件数据库中的所有微控制器芯片型号 在项目开发中, 我们也可以通过 Project Select Device for Target 菜单调出该对话框 为项目选择正确的微控制器芯片类型很重要, 因为 µvision 将根据器件类型定制工具设置 外设以及相应的对话框 图 6 新建工程示意图

12 图 7 选择微控制器型号 在 Select Device 对话框点击 OK 后,µVision 会弹出选择拷贝启动代码的对话框 所有的嵌入式程序都需要微控制器初始化和启动的代码来完成与芯片 开发板等相关的硬件配置 µvision 中集成了器件数据库中所列出的绝大多数芯片器件的启动代码 通常,µVision 将根据器件类型自动选择并拷贝相应的启动代码到项目文件夹中 此处, 只需选择 Yes 即可

13 图 8 是否添加启动代码到工程 2) 使用项目窗口 当我们成功的创建新项目后, 项目窗口中会显示该项目的 targets groups 和 files 默认情况下,target 的名称是 Target 1,group 的名称是 Source Group 1 我们可以看到, 启动代码文件已经被添加到工程中 图 9 启动代码添加到工程 3) 新建源文件

14 使用 File 工具栏或选择 File New 菜单创建一个新的源文件 在编辑器窗口中, 我们可以为新建源文件输入源代码 µvision 支持根据文件后缀名的语法彩色高亮功能 为了尽快使用该功能, 我们在输入源代码前先将空文件保存 选择 File 工具栏的保存按钮或使用 File Save 菜单, 将源文件保存为 main.c 我们采用课程教材中 45 页应用示例 2.1 的 FIR 滤波器设计作为 C 源 代码输入 main.c 文件 C 源代码如下 : 4) 添加源文件到工程 #define N 6 int main(void) { unsigned char c[6] = {1,2,3,4,5,6}; unsigned char x[6] = {4,8,9,3,5,1}; unsigned char i,f; } for (i=0, f=0; i<n; i++) { f = f + c[i]*x[i]; } return 0; 源文件虽然保存在项目目录下, 但是由于没有被添加到当前项目中, 也不会被编译 我们需要在项目窗口中, 用鼠标右键单击 Source Group 1, 在弹出菜单中选择 Add File to Group, 按照提示一步步将新建源代码文件添加到当前工程中

15 图 10 添加源文件到工程示意图 5) 设置目标选项 通过 Build 工具条或从 Project 菜单打开 Options for Target 对话框 在对话框中, 我们可以更改目标器件类型, 设置目标选项, 并配置开 发工具链 请按照如图 11 所示设置 Target, 其余使用默认设置

16 图 11 设置目标选项 6) 项目 Build 项目 Build 的过程即是源代码编译 汇编 连接并最终生成目标文 件的过程 Build 过程可以通过以下三个工具按钮操作实现 Translate File - 编译或汇编当前源文件 Build Target - 编译和汇编所有被修改过的文件, 并连接项目 Rebuild - 编译和汇编所有文件, 无论被修改与否, 并连接项目 在汇编 编译和连接的过程中,µVision 会在 Build 输出窗口显示错 误和警告, 如图 12 所示 对于提示的错误或警告, 我们可以双击该信

17 息跳转到源代码的对应行查找错误或警告原因 当项目成功完成所有 build 过程,µVision 会显示 0 Error (s), 0 Warnings (s) 同时,Build 输 出窗口还会显示程序代码和数据的大小 图 12 µvision 错误警告显示窗口 我们还可以通过在 Options for Target Output 中设置在 build 过程中 自动生成 HEX 文件, 以用于 Flash 存储器的编程 图 13 选择 HEX 文件输出

18 注 :HEX 全称 Intel HEX, 是一种文件格式, 用以对可编程微控制器 EPROMs 和其他类似芯片传送二进制信息 通常, 编译器或汇编器将程序源代码转换成机器码并输出到 HEX 文件 该 HEX 文件随后被编程器导入, 用来将机器码 烧写 或传送到目标系统或 ROM 中装载并执行 5. 程序调试 下面我们学习使用 µvision 调试器仿真调试之前编写的程序 如前所述,µVision 调试器可以配置成仿真器模式或目标调试器模式 本次实验, 我们先学习仿真器调试模式, 目标调试器模式在下一次结合硬件开发板的实验中学习 通过 Build 工具条打开 Options for Target 对话框, 切换到 Debug 标签, 按照图 14 所示设置调试器为仿真器模式

19 图 14 µvision 仿真器模式设置窗口 从 Debug 工具条选择 Start/Stop Debug Session 命令 按钮进入调试 模式 µvision IDE 会装载应用, 执行启动代码, 并按照配置一直执行到 main 函数入口处暂停 当程序执行暂停后,µVision IDE 打开文本编辑器窗口 反汇编窗口以及寄存器窗口 栈调用窗口等调试输出窗口 µvision IDE 进入调式模式后的界面如图 15 所示 左边是 Register Window, 实时显示处理器寄存器中的值 右边是 Disassembly Window 和 Text Editor Window 其中, 上边 Disassembly Window, 显示 C 语言等高级语言反汇编后的汇编代码 ; 下边的 Text Editor Window, 对源程序进行修改或编辑

20 右下方是 Call Stack Window, 查看程序中的变量在栈中的变化情况 图 15 µvision 进入调式模式后的界面 µvision IDE 进入调试模式后, 我们可以通过操作 Debug 工具栏或 Debug 菜单的调试命令来控制代码的执行 Debug 工具栏如图 16 所示 : 图 16 Debug 工具栏 控制代码执行的常用命令解释如下 : Run 命令 : 启动执行程序 Stop 命令 : 暂停执行程序 Reset CPU 命令 :PC 指针复位 Step 命令 : 在程序中单步执行中进入函数内部

21 Step Over 命令 : 在程序中单步执行中将函数看做是一条指令 Step Out 命令 : 从当前函数中跳出进入上一级程序 执行到光标行命令 : 执行程序到当前光标所在代码行 我们还可以通过双击编辑器窗口代码行前面的空白处或 Debug Insert/Remove Breakpoint 插入断点, 辅助控制程序的执行 图 中, 代码行前面的红点就是插入的断点, 同样也可以双击红色断点取消插入的断点, 程序中允许插入多个断点 选择 Debug 按钮 进入 Debug 模式后, 源程序从图 17 中的黄色箭 头处开始执行 当点击 Debug 工具栏上的 按钮时, 源程序会单步向 下执行, 执行过后的源程序前会变成绿色, 如图 18 所示 ; 在源程序没 有执行到断点之前点击 Debug 工具栏上的 Run 按钮, 程序会自动执 行到红色的断点处, 如图 19 所示 图 17 程序开始单步调试

22 图 18 程序单步调试后 图 19 程序执行到断点处 程序在执行过程中, 相应工作模式下的寄存器的值会发生变化, 图 20 中显示了微控制器寄存器的值 可以通过双击寄存器的值来改变其 值或使用 F2 快捷键选择相应的寄存器后在改变其值

23 图 20 寄存器窗口 可以在 Call Stack Window 中查看源程序中变量的变化情况, 图 21 反映的是源程序执行到图 17 中的断点处时, 栈中变量的变化情况 此时, 数组 c[6] 和 x[6], 变量 i 和 f 的初始值都被压入到了栈中, 实现对数组和变量的初始化操作

24 图 21 栈显示窗口 在 Keil MDK 中还有一些很强大的工具, 比如逻辑分析仪 代码覆 盖率分析工具等, 下面对这两种工具做一下简单介绍 逻辑分析仪 : 在 keil MDK 中软件逻辑分析仪很强的功能, 可以分析数字信号, 模拟化的信号,CPU 的总线 (UART IIC 等一切有输出的管脚 ), 提供调试函数机制, 用于产生自定义的信号, 如 Sin, 三角波 澡声信号等, 这些都可以定义 代码覆盖率分析 : 代码覆盖率分析工具能够提供几乎不受限制的跟 踪信息流服务, 为程序代码提供完整的代码覆盖率分析 代码覆盖率

25 标识每个已执行的指令, 从而确保对程序进行彻底测试, 这是对完整 的软件验证和认证的基本要求 代码覆盖率标识已执行的代码, 可有 助于确保彻底测试应用程序 6. 创建汇编源代码项目 创建汇编源代码程序与 C 语言源程序类似, 但有两个不同点 : 在新 建项目这一步不需要添加启动代码 ; 新建汇编源文件时, 汇编源文件 后缀名为.s 而不是.c 汇编源程序如下 : loop AREA FIR, CODE, READONLY ; 声明代码段 CODE32 ; 声明程序为 32 位 ARM 指令 ENTRY ; 声明程序入口 MOV r0, #0 MOV r8, #0 ADR r2, N LDR r1, [r2] MOV r2, #0 ADR r3, C ADR r5, X ; loop body LDR r4, [r3, r8] LDR r6, [r5, r8] MUL r9, r4, r6 ADD r2, r2, r9 ADD r8, r8, #4 ADD r0, r0, #1 ; test for exit CMP r0, r1 BLT loop B. ALIGN N DCD &0a C DCD &01, &02, &03, &04, &05, &06, &07, &08, &09, &0a X DCD &01, &02, &03, &04, &05, &06, &07, &08, &09, &0a END

26 注 : 在 keil 中编写汇编源程序时, 汇编指令不能顶格写 汇编语言程序每行分为 4 个区域, 从左到右, 分别是标号域 助记符 操作数 注释域, 其中标号域是根据需要决定有否, 而注释域是可有可无的, 一个训练有素的程序设计人员, 总是会很好地使用注释域 将汇编源程序 Translation-Bulid 后, 选择 Debug 按钮进入调试模式 通过单步执行可以很容易地查看到寄存器值的变化, 如图 22 和图 23 所示 : 图 22 是刚进入调试模式时寄存器的值, 图 23 是单步执行到语句 MOV r2, #0 时寄存器的值, 可以看出寄存器 r1 r2 r15 的值都发生 了变化, 同理可以查看其它汇编语句执行时, 寄存器值的变化情况 其中, 寄存器 r2 存储的是标签 N 所在存储空间的首地址 将地址 0x40 填入 Memory Window 中的 Address 文本框中, 可以看到内存中为 0x40 的地址空间已经被写入了我们初始化的数据 0x0a, 如图 24 所示, 同理也可以查看其它地址空间中初始化的数据

27 图 22 寄存器窗口 1 图 23 寄存器窗口 2 图 24 内存显示窗口

28 参考文献 : [1] Getting Started, Creating Applications with µvision 4 [2] 嵌入式计算系统设计原理, 沃尔夫, 李仁发, 机械工业出版社,2009 年 6 月.

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

Microsoft Word - Codewarrior IDE快速入门.docx

Microsoft Word - Codewarrior IDE快速入门.docx Codewarrior IDE 快速入门 本章我们以 Codewarrior HC08 V3.1 为例, 创建一个工程, 编辑源文件, 编译后进行调试 1 创建新项目 打开 codewarrior IDE 后, 出现如图 2.1 的界面 在 File 菜单中选择 New 图 2.1 codewarrior 在弹出的新窗口中, 选择 HC(S)08 New Project Wizard, 在 Project

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

ARM中C和汇编混合编程及示例.doc

ARM中C和汇编混合编程及示例.doc ARM 中 C 和汇编混合编程及示例 在嵌入式系统开发中, 目前使用的主要编程语言是 C 和汇编,C++ 已经有相应的编译器, 但是现在使用还是比较少的 在稍大规模的嵌入式软件中, 例如含有 OS, 大部分的代码都是用 C 编写的, 主要是因为 C 语言的结构比较好, 便于人的理解, 而且有大量的支持库 尽管如此, 很多地方还是要用到汇编语言, 例如开机时硬件系统的初始化, 包括 CPU 状态的设定,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Prepare.ppt [兼容模式]

Prepare.ppt [兼容模式] 课前实验 Linux 操作系统的安装 C 语言编程环境的设置 TinyOS 开发环境的设置 一 实验目的 1 学会在计算机或虚拟机环境中安装 Linux 操作系统 2 学会在 Linux 下进行 C 语言编程 3 掌握交叉编译开发, 学会在 Linux 下设置 TinyOS 开发环 境, 能够完成基于 NesC 的 TinyOS 应用程序开发 二 Linux 操作系统的安装 14.04.4-desktop-amd64.iso

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

微机原理--汇编、连接和调试

微机原理--汇编、连接和调试 微机原理课程 汇编语言程序的编辑 汇编 连接和调试 西安电子科技大学 探测制导系 内容提要 编写汇编语言程序的五个重要步骤 编写源程序 汇编过程 连接过程 汇编语言编程过程 DEBUG 主要功能 DEBUG 命令 程序设计举例 结束语 编写汇编语言程序的五个重要步骤 1. 编写源程序, 如 L1.ASM 2. 汇编源程序, 得到目标代码,L1.OBJ 3. 目标代码文件的连接, 生成可执行文件 L1.EXE

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

C#程序设计实验

C#程序设计实验 程序设计基础 实验指导 / 实验一 : 认识 C# 1 程序设计基础 实验指导 实验一 : 认识 C# 一 实验目的 1 掌握 Visual Studio 的基本操作方法, 学会使用该编译工具 2 掌握编辑 编译 运行控制台应用程序的基本过程 3 掌握建立 Windows 窗体应用程序的基本过程 4 通过运行 C# 程序, 初步了解 C# 程序的特点 二 实验学时 2 学时 三 实验类型 验证性实验

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

RealView Microcontroller Development Kit

RealView Microcontroller Development Kit 菜鸟的阿拉伯飞毯 启动代码生成向导 专家的哈雷望远镜 性能分析器 高手的无剑胜有剑 软件模拟器 未来战士的激光剑 Cortex-M3 支持 RealView Microcontroller Development Kit(RealView MDK) 开发套件 RealView MDK 开发套件源自德国 Keil 公司, 被全球超过 10 万的嵌入式开发工程师验证和使用, 是 ARM 公司目前最新推出的针对各种嵌入式处理器的软件开发工具

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

Microsoft PowerPoint - STM32 tools Oct-2007.ppt

Microsoft PowerPoint - STM32 tools Oct-2007.ppt STM32 开发套件及仿真工具 2007/10/31 Shanghai 2007/10/31 2 国际性的开发套件所有套件均可从 ST 订购 STM3210B-SK/IAR $239 开发套件包含 JTAG 接口的仿真器 / 编程器和 STM32 评估板 可以用此套件评估 STM32 以及开始开发项目 ( 代码限制取决于编译器 ) 可以通过代理商从 ST 定购 STM3210B-SK/KEIL $189

More information

标题

标题 ARM 应用程序开发和电路仿真环境 嵌入式系统, 无论从硬件还是软件的开发来说, 其开发流程和开发环境都有一定的特 殊性 作为嵌入式系统的开发和设计者, 了解嵌入式系统开发的标准流程并熟悉嵌入式系统的开发环境是非常必要的 本章我们先了解嵌入式系统的标准开发流程和开发环境, 再学习最常用的两个用于应用软件开发和电路系统仿真的工具软件 :RealView MDK 和 Proteus 4.1 嵌入式系统的开发流程和开发环境

More information

目录目录 32-bit ARM Cortex -M3 MCU 1 简介...5 关于快速入门指南... 5 关于 Keil MDK-ARM 系统要求 软件安装...8 Keil MDK-ARM 安装... 8 安装 Keil HT32 支持包 安装 USB 调

目录目录 32-bit ARM Cortex -M3 MCU 1 简介...5 关于快速入门指南... 5 关于 Keil MDK-ARM 系统要求 软件安装...8 Keil MDK-ARM 安装... 8 安装 Keil HT32 支持包 安装 USB 调 Keil TM MDK-ARM 快速入门指南适用于 Holtek 单片机 版本 : V1.10 日期 : 2011-08-23 目录目录 32-bit ARM Cortex -M3 MCU 1 简介...5 关于快速入门指南... 5 关于 Keil MDK-ARM... 6 2 系统要求...7 3 软件安装...8 Keil MDK-ARM 安装... 8 安装 Keil HT32 支持包...

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

Microsoft PowerPoint sun-arm isa2.ppt [Compatibility Mode]

Microsoft PowerPoint sun-arm isa2.ppt [Compatibility Mode] 嵌入式系统设计与应用 第二章 ARM 指令系统 (2) 西安交通大学电信学院 孙宏滨 汇编伪指令 汇编伪指令 : 在 ARM 汇编语言里, 有一些特殊指令助记符, 没有相对应的操作码 ( 或直接对应指令 ) 通常称这些特殊指令助记符为伪指令, 它们所完成的操作叫做伪操作 伪指令在源程序中的作用是为完成汇编程序作各种准备工作 这些伪指令仅在汇编过程中起作用, 一旦汇编结束, 伪指令的使命完成 ADR:

More information

Hello-M3开发板

Hello-M3开发板 Keil Realview MDK 快速入门 HelloM3 应用笔记 北京锐鑫同创科技有限公司 www.realsense.com.cn www.hellom3.cn Keil RealView MDK 此文档将向您演示如何安装 Keil RealView MDK, 如何使用它来创建并在 HelloM3 评估板上运行一个应 用例程 步骤 1: 安装 RealView MDK 工具 在 HelloM3

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

<4D F736F F D20CAB5D1E BACDBBE3B1E0D3EFD1D4B5C4BBECBACFB1E0B3CCCAB5D1E92E646F63>

<4D F736F F D20CAB5D1E BACDBBE3B1E0D3EFD1D4B5C4BBECBACFB1E0B3CCCAB5D1E92E646F63> 1 实验目的 实验 2 C 和汇编语言的混合编程实验 学习在 C 程序中使用嵌入式汇编编写程序 了解嵌入式汇编的格式 语言特点 2 实验设备 S3C2410 开发板 ADS1.2 集成开发环境,JTAG 调试器 串口连接线 3 实验原理 在 ARM 的应用开发中,C 语言功能强大且容易编写程序, 但是汇编程序在底层的操作仍然具有 C 程序无法替代的功能, 有时候我们需要在 C 程序中嵌入汇编程序来完成一些直接对底层的诸如寄存器的操作

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 odps-sdk 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基 开放数据处理服务 ODPS SDK SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基础功能的主体接口, 搜索关键词 "odpssdk-core" 一些

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

UM1523 用户手册 STM32F0 探索套件软件和固件环境使用入门 前言 本文介绍在 STM32F0 探索板上开发应用设计所需的软件和固件环境以及开发建议, 详细描述了评估板配套应用固件以及固件的架构和组件 本文档还指导开发新手如何在微控制器上编译并运行例程, 以及创建自己的应用程序 本文档内容

UM1523 用户手册 STM32F0 探索套件软件和固件环境使用入门 前言 本文介绍在 STM32F0 探索板上开发应用设计所需的软件和固件环境以及开发建议, 详细描述了评估板配套应用固件以及固件的架构和组件 本文档还指导开发新手如何在微控制器上编译并运行例程, 以及创建自己的应用程序 本文档内容 用户手册 STM32F0 探索套件软件和固件环境使用入门 前言 本文介绍在 STM32F0 探索板上开发应用设计所需的软件和固件环境以及开发建议, 详细描述了评估板配套应用固件以及固件的架构和组件 本文档还指导开发新手如何在微控制器上编译并运行例程, 以及创建自己的应用程序 本文档内容如下 : 第 1 章 : 开始使用 : 介绍探索板对计算机系统的要求 ; 如何运行评估板内置演示固件 第 2 章 :

More information

Microsoft Word - 11.doc

Microsoft Word - 11.doc 除 錯 技 巧 您 將 於 本 章 學 到 以 下 各 項 : 如 何 在 Visual C++ 2010 的 除 錯 工 具 控 制 下 執 行 程 式? 如 何 逐 步 地 執 行 程 式 的 敘 述? 如 何 監 看 或 改 變 程 式 中 的 變 數 值? 如 何 監 看 程 式 中 計 算 式 的 值? 何 謂 Call Stack? 何 謂 診 斷 器 (assertion)? 如 何

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.07.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.07.doc 2 5 8 11 0 1. 13 2. 15 3. 18 1 1. 22 2. 25 3. 27 2 1. 35 2. 38 3. 41 4. 43 5. 48 6. 50 3 1. 56 2. 59 3. 63 4. 65 5. 69 13 22 35 56 6. 74 7. 82 8. 84 9. 87 10. 97 11. 102 12. 107 13. 111 4 114 1. 114 2.

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

untitled

untitled MPICH anzhulin@sohu.com 1 MPICH for Microsoft Windows 1.1 MPICH for Microsoft Windows Windows NT4/2000/XP Professional Server Windows 95/98 TCP/IP MPICH MS VC++ 6.x MS VC++.NET Compaq Visual Fortran 6.x

More information

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0 SDChain-Matrix 节点搭建指南 目录 1 环境要求... 3 2 软件下载... 4 3 安装部署... 4 3.1 部署可执行程序目录... 4 3.2 部署配置文件目录... 4 3.3 部署数据库文件目录... 4 3.4 部署日志文件目录... 4 3.5 部署依赖库文件目录... 4 4 配置参数... 5 5 启动运行... 7 5.1 普通模式启动... 7 5.2 加载启动模式...

More information

DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 Website: Support:

DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 Website:  Support: DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 文档版本 作者 V-0.1-20150124 parker 初审 再审 2 内容目录 1.设备...4 2.硬件接线...4 2.添加新芯片至 DS-5 调试设备列表...4 2.2.说明...4 2.3.步骤...5 2.3.1.创建 DS-5 Configuration Database...5 2.3.2.创建

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Microsoft Word - CX1000-HMI_程序开发_PLC通讯

Microsoft Word - CX1000-HMI_程序开发_PLC通讯 用 VB.Net 开发 CX1000 的 HMI 第二部分和 TwinCAT PLC 通讯 一 TwinCAT 动态库 TwinCAT.Ads.dll The TwinCAT.Ads.dll 是一个.NET 类库, 它提供和 ADS 设备通讯的类 如果 TwinCAT PLC 运行在 IPC 上, 则需要添加的类库是路径 \TwinCAT\ADS Api\.NET\v1.1.4322 下的 TwinCAT.Ads.dll

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word - QTP测试Flex.doc

Microsoft Word - QTP测试Flex.doc TIB 自动化测试工作室 http://www.cnblogs.com/testware 使用 QTP 进行 Flex 自动化测试 自动化测试工具与 Flex 应用程序之间是通过代理 (Agent) 来交互的, 如图所示 : 这也就是为什么后面在使用 QTP 进行 Flex 自动化测试之前要先安装 Flex 插件 配置和编译 Flex 程序的原因 前提条件 在使用 QTP 进行 Flex 4 的测试之前,

More information

32??????QuickStart [????????]

32??????QuickStart [????????] 32 位项目开发 QuickStart 作者卢昊部门软件部日期 2016.06 版权所有 上海东软载波微电子有限公司 一 :Keil 开发环境插件安装二 :Keil+J-link 仿真调试三 :idesigner4.1 开发环境及项目转换四 :idesigner4.1 仿真调试五 : ESBurner_HRLink 版权所有 上海东软载波微电子有限公司 Keil 开发环境插件安装 Keil 安装好后,

More information

如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加

如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加 如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加参数, 还可以在系统运行时修改参数值, 方便高效 本文以 DM8127 VPSS M3 为例介绍如何用

More information

CC Debugger使用手册

CC Debugger使用手册 深圳市微雪电子有限公司 CC Debugger 使用手册 www.waveshare.net Xuwenjie 2012/12/8 目录 第一章 : 概述... 3 1.1 特性... 3 1.2 支持设备... 3 1.3 支持软件... 4 1.4 技术参数... 4 第二章 : 硬件描述... 5 2.1 1 USB 接口... 5 2.2 2 LED 指示灯... 5 2.3 3 RESET

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

<4D F736F F D20B5DA33D5C DBFAAB7A2BBB7BEB C D444BC6BDCCA8B4EEBDA82E646F6378>

<4D F736F F D20B5DA33D5C DBFAAB7A2BBB7BEB C D444BC6BDCCA8B4EEBDA82E646F6378> CORTEX-M3+UCOS-II 嵌入式系统开发入门与应用 作者 : 华清远见 第 3 章 ARM 开发环境 RealView MDK 平台搭建 3.1 常用 ARM 开发工具 ARM 应用软件的开发工具根据功能的不同, 分别有编译软件 汇编软件 链接软件 调试软件 嵌入式实时操作系统 函数库 评估板 JTAG 仿真器以及在线仿真器等 目前世界上有 40 多家公司提供以上不同类别的产品 用户选用

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

<4D F736F F D20B3CCD0F2C9E8BCC6CAB5BCF9BDCCB3CC2843D3EFD1D4C3E8CAF629>

<4D F736F F D20B3CCD0F2C9E8BCC6CAB5BCF9BDCCB3CC2843D3EFD1D4C3E8CAF629> 第 3 章程序开发环境的使用 我们知道, 程序开发要经历源程序编辑 编译 链接 运行 调试等多个重复环节, 对于早期的程序员来说, 每一个环节都是由独立的工具完成的, 即程序的编辑器 编译器 连接器 调试器都是独立的程序工具, 在这样的环境下, 程序员的工作效率比较低 随着软件技术的进步, 逐渐出现了集成开发环境 (IDE) 所谓集成开发环境就是将源程序的编辑 编译 链接 运行 调试等程序开发的基本环节集成在一个平台上,

More information

基于UML建模的管理管理信息系统项目案例导航——VB篇

基于UML建模的管理管理信息系统项目案例导航——VB篇 PowerBuilder 8.0 PowerBuilder 8.0 12 PowerBuilder 8.0 PowerScript PowerBuilder CIP PowerBuilder 8.0 /. 2004 21 ISBN 7-03-014600-X.P.. -,PowerBuilder 8.0 - -.TP311.56 CIP 2004 117494 / / 16 100717 http://www.sciencep.com

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

嵌入式工程师考纲大纲(中级)

嵌入式工程师考纲大纲(中级) ARM9 嵌入式系统设计与开发应用 教学大纲 编写 : 熊茂华 本教材是为中国电子学会嵌入式设计工程师考试指定教材, 根据嵌入式设计工程师考试大纲 ( 中级 ) 要求, 制订了 ARM9 嵌入式系统设计与开发应用 课程的教学大纲 课程能力目标 : 通过本课程的教学, 掌握嵌入式应用系统的基本结构 嵌入式硬件接口和软件系统设计的方法 ; 利用嵌入式开发工具 ADS 1.2 开发基于 μc/os-ii

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

Eclipse C C++, or

Eclipse C C++,  or Eclipse C C++, Emailctchen@pl.csie.ntut.edu.tw or s1669021@ntut.edu.tw, s2598003@ntut.edu.tw http://pl.csie.ntut.edu.tw/~ctchen, http://www.ntut.edu.tw/~s2598003/ 2004/9/10 (0.02 ) Eclipse http://www.eclipse.org

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww 文档编号 _CH 文档版本 Rev. A 文档摘要 描述了使用 Trace32 来调试运行于 SDRAM 的 SAM9 代码的方法和过程 关键词 Trace32 SAM9 SDRAM 程序 创建日期 2010-01-19 创建人员 Dracula 审核人员 Hotislandn 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档, 转载请注明出处 更新历史 版本时间更新作者

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

A RM DS - 5 实战开发从入门到精通 支持调试 Linux 内核和驱动模块, 启动代码和内核的移植 支持裸操作系统的对称多处理器的调试 支持像 gdb 风格的命令行输入 1.2 DS 5 debugger 调试器 DS 5 是一个基于 Eclipse 的图形化界面, 如图 1 1 所示 DS

A RM DS - 5 实战开发从入门到精通 支持调试 Linux 内核和驱动模块, 启动代码和内核的移植 支持裸操作系统的对称多处理器的调试 支持像 gdb 风格的命令行输入 1.2 DS 5 debugger 调试器 DS 5 是一个基于 Eclipse 的图形化界面, 如图 1 1 所示 DS 第 1 章 DS-5 概述 DS 5 是 ARM 官方推出的基于 Eclipse 的调试工具, 可以用来调试所有 ARM 处理器, 包括 Cortex A Cortex R 和 Cortex M 系列, 以及更早期的 ARM9 和 ARM11 等处理器 它是和 ARM CPU 的专家们一起开发的, 所以它比市场上其他的调试器能更早 更好地支持 ARM 处理器 1.1 DS 5 介绍 DS 5 的功能非常强大,

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1 Chapter 01 Photoshop CS6 的基本操作 本章内容 1.1 Photoshop 的应用领域 1.6 控制面板的显示与隐藏 1.2 位图和矢量图的特性 1.7 新建 打开与保存文件 1.3 像素和分辨率的关系 1.8 图像的缩放 1.4 色彩模式 1.9 屏幕显示模式 1.5 Photoshop CS6 界面 1.10 计算机图形图像常用的色彩模式 Photoshop CS6 艺术设计案例教程

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

目 录

目 录 目录 第 1 章 TKStudio IDE 简介... 1 第 2 章使用 JLink 调试... 3 2.1 配置输出文件格式... 3 2.2 配置仿真器驱动... 3 2.2.1 JLinkGDBServer 路径... 7 2.2.2 接口类型... 7 2.2.3 目标地址... 8 2.2.4 端口... 8 2.2.5 初始化命令脚本... 8 2.2.6 使用 JFlashARM 烧写...

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

第1章 IAR EWARM入门

第1章 IAR EWARM入门 第 1 章 IAR EWARM 入门 1.1 IAR EWARM 集成开发环境介绍 1.1.1 IAR EWARM 简介 IAR Embedded Workbench for ARM( 下面简称 IAR EWARM) 是一个针对 ARM 处理器的集成开发环境, 它包含项目管理器 编辑器 C/C++ 编译器和 ARM 汇编器 连接器 XLINK 和支持 RTOS 的调试工具 C-SPY 在 IAR EWARM

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已经安装, 并能正常运行 ; 准备好 CME-M7 器件及下载线 ; 准备好演示文件 ( 位于软件安装目录下, 默认 : C:\capital_micro\primace7.0\Examples\M7\primace\M7

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品 DDJ-SP http://pioneerdj.com/support/ http://serato.com/ 目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中,

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7.

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes 包管理理 工具 Helm 蔺礼强 Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information