通信与电子系统综合实验

Size: px
Start display at page:

Download "通信与电子系统综合实验"

Transcription

1 中国科学技术大学电子工程与信息科学系 通信与电子系统综合实验 课程报告 曹梦霏 电子设计自动化实验室

2 摘要 本报告主要总结了一个月以来在电子设计自动化实验室里的所学 依据课程指导, 学习分为两大部分 : 第一部分是硬件实验台和软件编程环境的熟悉实验, 其中包括 FPGA 部分和 DSP 部分, 分别根据实验手册进行实践, 报告中将简要提到学习到的知识 ; 第二部分是自选实验, 本报告选择的是 FPGA 通过 CPLD 对外设的控制设计实验 FPGA 对 AD/DA 模块的控制实验和 FPGA 与 DSP 之间的通信实验三个实验进行总结报告, 报告内容将包括硬件分析 设计要求分析 编程分析和硬件实现 目录 一 学习实验总结...2 ( 一 )FPGA 小实验... 2 ( 二 )DSP 小实验... 2 二 完成实验...3 ( 一 )FPGA 通过 CPLD 对外设的控制... 3 ( 二 )FPGA 对 AD/DA 模块的控制实验... 6 ( 三 )FPGA 与 DSP 之间的通信实验... 9 感谢与总结 :

3 一 学习实验总结 ( 一 )FPGA 小实验 简单组合逻辑实验, 加法乘法器 : 通过这些实验熟悉利用 ISE 设计软件对 WTDP 实验箱中 FPGA 硬件部分编程和仿真 同时完成一些简单的组合逻辑电路实现, 在加法乘法器的实验中了解较多的与外设接口配置 其中实现与运算的实验除利用拨码开关硬件实现外, 还有使用行为仿真 (Simulate Behaviroal Mode) 的仿真实验, 下图是两比特的与运算仿真结果 : 图一与逻辑运算仿真 数码管控制实验 : 由拨码开关作为数据输入, 用七段数码管显示的实验 因此需要有从外设的输入输出, 并且将输入数据进行七段译码, 得到对应的十六进制显示 其中数据的七段译码通过 16 路选择实现 计数器电路 : 编写计数器, 在数码管上显示 因此, 学习到了分频, 这也是第一个时序电路设计 是后面实验中分频 扫描的基础 ( 二 )DSP 小实验 汇编编程跟踪, 及与 C 语言的混合编程实验 : 了解 CCS 软件编程环境, 通过实例熟悉 CCS 开发环境下的 C 语言 汇编语言和汇编与 C 混合编程 通过 CCS 下的查看工具查看寄存器情况跟踪程序运行 DSP 数据存取 定时器 外中断实验等 : 了解 DSP 对内部设备的控制信号收发以及与外设之间的通信都是通过地址映射实现的 从定时器的实验中了解 DSP 内设工作时通过对状态寄存器读写完成对其工作状态控制的机制 FFT 范例程序阅读 : 该程序利用 FPGA DSP ARM 三个模块实现外部数据输入进行快速傅立叶 2

4 变换再在 ARM 上显示 这里只关注了 DSP 部分的实现, 了解 DSP 通过 EMIF 接 口对 FPGA 内部寄存器的访问是通过存储器映射寄存器实现, 可以读写, 并且 读写信号在读写指令运行时自动从外设或 FPGA 接收 自动发送给 FPGA 二 完成实验 ( 一 )FPGA 通过 CPLD 对外设的控制 硬件分析 : 本实验涉及的硬件包括 : 4 个脉冲出发开关 ; 8 个拨码开关 ; 8 个七段数码管 ; 32 个 LED 发光二极管 ; 以及如图二连接的 CPLD 和 FPGA; 脉冲触发开关 (4 位 ) 输入 0/1 控制开关 (8 输出数码管 ( 动态 8 发光二极管 图二硬件连接图示 Xilinx CPLD U50 XC95144XL 设计要求分析 : 要实现 FPGA 对 CPLD 外设的全部利用, 但是考虑到可用信号连接只有 26 条, 而内部实际信号 (12 月 25 日前 ) 为 24 条 ; 因此必须通过信号的译码压缩才能达到 FPGA 直接对外设控制的目的 Xilinx FPGA U46 XC2V2000 图三通信端口分配示意图由图中分析可以看到如果用 12 位信号传输外设输入的 12bit 信号 用 5 位信号传输控制 32 个发光二极管的输出信号 用 3 位信号控制 8 个数码管的输出片选信号和用 4 位信号控制数码管数据的输出信号, 则总和恰好是 24; 因此通过 24 个通信端口就可以实现对所有外设的控制了 图四给出了 FPGA CPLD 和外设的输入输出端口示意图 ( 其中时钟输入可用于在分频后动态扫描得到数码管全显示 ): 3

5 图四 FPGA 通过 CPLD 控制外设的结构示意图 ( 其中大的空心箭头代表数据流 ) 编程分析和实现 : CPLD 部分程序 : 通过 32 路选择器实现 5 位来自 FPGA 的对发光二极管的控制信号对外设的发光二极管译码控制, 其中 0 为灭,1 为亮 ; 每次亮 5 位二进制数据对应序号的一个发光二极管 with FPGALED select LEDout<=" " when "00000", " " when "00001", " " when others; -- 其中 FPGALED 是来自 FPGA 的对发光二极管的控制信号,LEDout 是输出给外设的控制信号 通过 8 路选择器实现 3 位来自 FPGA 的对数码管的片选信号对外设的 数码管进行控制 ( 如果采用动态扫描, 则控制锁存器赋值 ); 通过 16 路选择器实现 4 位来自 FPGA 的对数码管的数据信号对外设的数码管数据进行译码显示 CASE FPGANumber IS WHEN "0000" => Seg7_abcdefgp <= " "; WHEN "0001" => Seg7_abcdefgp <= " "; WHEN OTHERS => Seg7_abcdefgp <= " "; END CASE;-- 其中 FPGANumber 是 FPGA 对数码管的数据信号, 输出译码后的十六进制显示 Seg7_abcedfgp 给外设 CASE FPGAselect IS 4

6 WHEN "000" => digout <= " "; WHEN "001" => digout <= " "; WHEN OTHERS =>digout <= " "; END CASE;-- 其中 FPGAselect 是 FPGA 对数码管的片选信号, 输出共阴极控制电平 digout 给数码管 初始实验中, 所有时钟周期输出一个锁存片选值, 因此视觉上根据片 选的输入, 每次只能显示一个数码管的值 提出的改进想法是 ( 同 EDA 实验中动态扫描 ) 在 CPLD 中创建 8 个锁存器, 利用片选信号选择赋值, 再利用时钟输入对外设的 8 个数码管进行动态扫描获得视觉残留效应下的各个数码管不同存储值的实现 CASE FPGAselect IS WHEN "000" => Data0 WHEN "001" => Data1 <= FPGAnumber; <= FPGAnumber; WHEN OTHERS =>DATA0 <= " ";-- 实现 8 个锁存器, 得到数据 IF(saomiao="000")THEN Seg7_abcdefgp <=Data0; digout<=" "; ELSIF(saomiao="001")THEN Seg7_abcdefgp <=Data1 digout <=" "; ELSIF(saomiao="010")THEN END IF;--saomiao 信号是时钟分频后以八为循环周期的扫描信号, 对于每单个时隙, 输出一个锁存器值并显示在对应的数码管上 FPGA 部分程序 : 在 fpga_ctl.vhd 程序中需要完成对于外设输入信号的处理, 例如 8 位拨码开关输入之间的运算等等, 然后将结果送给 CPLD; 本实验中直接将输入的 8 位拨码开关中的 5 位作为发光二极管的控制输出 3 位作为 5

7 数码管的片选输出,4 位脉冲开关输入作为数码管的数据输出 然后 在 fpga_ctl.ucf 中完成各引脚的配置, 依据 硬件连接关系 _ doc 中 XC2V2000-FG676 FPGA 与 XC95144XL-10TQ144 CPLD 间的连接 结果 : 32 个发光二极管从左至右编号为 0~31, 对于 5 位拨码开关的二进制输入, 对应序号的发光二极管亮灯响应 下图是实验仿真 : 图五 32 个发光二极管控制仿真 通过 3 位拨码开关对 8 个数码管片选 ; 选中的数码管将响应 4 位脉冲 开关的输入 ( 其中一位值总为 1) 得到十六进制显示 其中,CPLD 和 FPGA 之间的引脚对应关系自行分配, 并没有约束 ( 端 口功能都为 I/O); 只要保证在设计外设的时候对应关系一致即可 ( 二 )FPGA 对 AD/DA 模块的控制实验 硬件分析 : AD9021 支持最高采样率 20MSPS 的 10bit 双通道 (I/Q) 输入 Xilinx FPGA U46 XC2V2000 CMOS 模数转换器, 输出在二路复用的数字缓存总线上 AD9761 是一个完全的双通道输出 10 比特 CMOS 数模转换 器, 将输入进 行最高 40MSPS 地采样得到两路 扩展子 板接口 AD9021 AD9761 图六 AD/DA 模块与 FPGA 硬件连接 6

8 20MSPS 再进行插值得到 40MSPS 的数据 因此, 在最高采样时钟工作条件下,AD 采样时钟 20MHZ, 得到的两路数据量之和为 40M*10bit; 而 DA 转换始终为 40MHZ, 将 AD 输入的数据总线上数据全部读取并分别送到 I/Q 两路, 得到各 20M 的数据量再通过 DA 内部的插值电路把每路数据量增加到 40M FPGA 处需要对 AD/DA 模块进行时钟产生 控制信号输入, 频率是最为重要的控制参数 如果频率匹配不当, 可能导致时延不稳定,DA 对 AD 采样的两路信号混淆读取, 无法正常恢复出原 AD 采样的两路信号 ; 此处固定时延的问题需要考虑, 但是基于 AD/DA 芯片内部的采样保持电路和插值处理, 固定时延不会产生太大的问题, 实验中也并未做特殊处理 设计要求分析 : 设计目标是 AD 读取函数发生器送来的波形, 采样量化成数字信号将数据送给 DA, 然后 DA 将数字信号进行滤波恢复成模拟信号输出 首先从 AD 模数转换分析如何达到正确控制 : 图七 AD 信号时序分析地方图中 I Q 为两路模拟信号输入, 下三行分别为时钟 通道选择信号和数据输出信号 在每个时钟的上升沿同时对两路通道采样, 例如在第一个时钟周期内得到 I1,Q1 两个信号量化后存入缓存器, 第二个时钟周期内得到 I2,Q2 量化后存入缓存器, 而输出由 select 异步控制, 在 select 的上升沿时将 I 路数据送入输出数据线, 在 select 的下降沿时将 Q 路数据送入输出数据线 ; 因此得到 I Q 两路的串行输出 但是, 从图中可以看出 (1) 7

9 由于时延的存在, 使得在 select 的持续期内采样信号可能跳变, 但是由于是异步数据输出保证了采样保持 ;(2) 由于 clock 和 select 的频率不同, 时延逐渐变换, 最后甚至会漏传数据, 例如图中的 I5 因此, 为实现正常工作,AD 的 select 信号和 clock 信号需要严格相同, 保证时延匹配 DA 数模转换的时序要求分析 : 图八 DA 信号时序分析在每个 clock 的上升沿,DA 对输入的数字信号进行采样读取, 保存在缓存其中 ;select 信号决定缓存数据对输出通道的选择, 上升沿保存在 I 通道, 下降沿保存在 Q 通道 如果是接续 AD 的输出, 那么 clock 的采样频应与数据速率相同, 而 select 信号则保持是数据速率的一半, 即与 AD 的时钟相同 编程分析和硬件实现 由上分析, 可以设计这样的信号关系 : 图九 AD/DA 模块与 FPGA 的信号连接关系 依据 硬件连接关系 _ doc 中 XC2V2000-FG676 FPGA 扩展接口与 8

10 AD/DA 扩展板的连接, 将 AD9201 的十位数据信号输入 sleep 信号 cs 信号 select 信号以及时钟分配信号引脚 ; 将 AD9761 的十位数据信号输出 select 信号 write 信号以及时钟分配信号引脚 然后, 将 sleep 和 cs 赋定值保持一直工作, 将时钟分频, 令 AD 的 select 和 clock 为 1MHZ,DA 的 select 为 1MHZ clock 与 write 为 2MHZ 数据直接将 AD 的输出赋给 DA 的输入 实验结果 : 将函数发生器设为约 1khz 正弦输出, 先用示波器观察原始信号 然后将正弦输出探头分别放到 ADC 的 I 和 Q 输入通道, 同时将示波器探头放到 DAC 的 I 和 Q 输出通道 观察得到的波形与原始信号, 幅度有差别, 频率和波形一致 表明 AD/DA 模块采集数据进行了两次相反操作后输出得到了一致的频率信息 FPGA 对其控制成功 ( 三 )FPGA 与 DSP 之间的通信实验 硬件分析 : 硬件连接关系图如图六 : FPGA 通过内部各端口引脚分配得到与 DSP 的信号响应, 因此需要依据 硬件 连接关系 _ doc 中 图六 DSP 与 FPGA 硬件连接 DSP DM642-FC548 与 FPGA XC2V2000-FG676 的连接布置其与 DSP 的数据线 地址线以及控制信号 DSP 是通过存储器映射地址实现对其内外端口进行读写的, 而作为处理器, 在进行数据读取和发送时, 相应的读写信号自动发送接收 因此需要了解数据信号的映射地址, 本实验通过 EMIF 接口对 CE2# 的数据空间进行处理 设计要求分析 : 实验要求实现 FPGA 和 DSP 之间的数据通信, 从最简单的思想出发,FPGA 将数据传送给 DSP 然后经 DSP 特殊处理后, 写回 FPGA; 最后只需查看 FPGA 中返回的数据是否有 DSP 处理的痕迹即可判别数据通信是否成功 基于上述分析, 在 FPGA 中设计 16 路选择 8 位锁存器, 映射到 DSP 的 CE2# 的第一个地址 0xA 利用该地址的末八位数据传送数据, 紧随四位进行对 FPGA 中锁存器的片选, 并且 FPGA 中不同锁存器对数据有不同处理 9

11 后返回给 DSP; 从而达到检测 DSP 中读回数据的验证目标, 即是否通信 编程分析和硬件实现 : 将 FPGA 内部引脚与 DSP 的数据线 读写控制线连接, 得到可以相互进行数据通信的电路, 如图七 : 图七 FPGA 与 DSP 通信端口 FPGA 中锁存器使用 16 路选择译码即可 : CASE data_add IS DSP 发送写信号 WHEN "0000" => E0<= DBUS-5; --MEM0# WHEN "0001" => E1<= DBUS+5; --MEM1# WHEN "0010" => E2<= DBUS+9; --MEM2# CASE data_add IS DSP 发送读信号 WHEN "0000" => DBUS <= E0; --RAM0# WHEN "0001" => DBUS <= E1; --RAM1# WHEN "0010" =>DBUS <= E2; --RAM2# 其中 data_add 是数据的地址信号, 在使能有效后, 依据读写信号可以分别进行双向赋值, 在 DSP 向存储器写值时, 不同存储器对数据采取不同处理后再存储, 因此可以进行跟踪识别 DSP 中令 ptr 为 CE2# 中的第一个数据地址, 对其进行读写操作 实验中数据描述如下 : 10

12 图八 FPGA 和 DSP 通信实例 程序描述 : *ptr = 0x009; printf("ram1:%x\n ",*(unsigned int*)fpga_addr); i = *ptr; *ptr = i 0x100; printf("ram2:%x\n ",*(unsigned int*)(fpga_addr)); i = *ptr; *ptr = (i 0x200) & 0x2ff; printf("ram3:%x\n ",*(unsigned int*)(fpga_addr)); 如图八和上列程序所示 :( 1) 将片选位和数据位一起赋为 009 传给 FPGA, FPGA 的零号寄存器响应, 将数据减去 5 后存储 ;(2) 然后 DSP 发送读信号, 将数据从零号寄存器中读出, 得到 004 输出 ;(3) 将片选数据位赋为 1, 对一号寄存器进行写操作, 数据线上值为 4, 一号寄存器响应将 4+5=9 存储 ;(4)DSP 发送读信号, 读取一号寄存器中值, 输出 109;( 5) 将片选数据位赋为 2, 对二号寄存器进行写操作, 数据线上值为 9, 二号寄存器将 9+9=12H 存储 ;(6)DSP 发送读信号, 读取二号寄存器中数据, 输出 212 本实验的功能是 : 通过 DSP 的一位地址的 12 位数据线实现了 2 4 =16 个地址扩展, 对其中另外 8 位可进行数据传输读写 不足之处在于 : 采用数据线对 FPGA 中寄存器进行片选, 导致在片选的同时 DSP 读写信号必然发送, 因而数据也会改变 ; 另外, 只使用了 CE2# 地址空间中的一个地址 改进方法是利用多根地址 11

13 线与 FPGA 连接, 由 FPGA 进行译码取址, 可利用更多的 DSP 存储空间, 另外 由地址线对 FPGA 中存储器进行片选, 可以让读写数据更为灵活 感谢与总结 : 通过这一门实验课的学习, 主要对 FPGA 有了更多更自主地接触, 同时也了解了一些 DSP 设计的实例 总的来说, 知识上不仅获得了对硬件芯片如 CPLD AD/DA 等的了解, 也获得了通过对 C 语言, 汇编,VHDL 等编程语言的实践学习到的经验 实验室的氛围很自由, 可以让我们自主安排和选择 ; 同学之间也可以很好地互相沟通交流 ; 最重要的是梁老师和李老师耐心而严谨的指导 这些让我们不仅能够培养独立解决问题的能力, 还能有和同学交流的机会, 以及两位老师最专业地教授知识和经验的机会 衷心感谢各位同学和学长的交流与帮助, 感谢梁老师和李老师的专业指导 鼓励和包容 12

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

(精校版)陕西省语文卷文档版(含答案)-2011年普通高等学校招生统一考试.doc

(精校版)陕西省语文卷文档版(含答案)-2011年普通高等学校招生统一考试.doc 语 文 试 题 一 古 代 诗 文 阅 读 (27 分 ) ( 一 ) 默 写 常 见 的 名 句 名 篇 (6 分 ) 1. 补 写 出 下 列 名 句 名 篇 中 的 空 缺 部 分 (6 分 ) (1) 入 则 无 法 家 拂 士,, 国 恒 亡 ( 孟 子 生 于 忧 患, 死 于 安 乐 ) (2) 师 者, ( 韩 愈 师 说 ) (3) 宁 溘 死 以 流 亡 兮, ( 屈 原 离 骚

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Microsoft Word - 营销目录C.doc

Microsoft Word - 营销目录C.doc 目 录 一 电 工 电 子 电 气 自 动 化 机 电 1 1. 电 工...1 2. 电 子...15 3. 电 气 自 动 化...27 4. 机 电...43 二 信 息 技 术 57 1. 码 摄 影 摄 像...57 2. 图 形 图 像 / 计 算 机...59 3. 国 外 经 典 系 列...70 三 建 筑 74 1. 园 林 景 观 艺 术 设 计 建 筑 学 城 市 规 划...74

More information

2011年自动化、电气类教材书目.doc

2011年自动化、电气类教材书目.doc 科 学 出 版 社 2011 年 自 动 化 电 气 类 教 材 一 高 等 院 校 本 科 生 教 材 1. 电 气 信 息 类 基 础 课 程 教 材 课 程 名 书 号 书 名 定 价 著 译 者 备 注 页 码 978-7-03-019768-9 电 路 分 析 30.00 董 维 杰 等 辽 宁 省 精 品 课 程 1 978-7-03-017502-6 电 路 分 析 30.00 胡 建

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

十 二 月 佳 作 第 一 次 當 哥 哥 3A 麥 展 衡 媽 媽 懷 孕 了, 我 很 興 奮 不 過, 自 從 媽 媽 生 下 妹 妹 後, 我 漸 漸 難 過, 因 為 以 前 爸 媽 總 是 以 我 為 先, 但 現 在 卻 以 妹 妹 為 中 心 為 什 麼 大 家 都 喜 歡 妹 妹?

十 二 月 佳 作 第 一 次 當 哥 哥 3A 麥 展 衡 媽 媽 懷 孕 了, 我 很 興 奮 不 過, 自 從 媽 媽 生 下 妹 妹 後, 我 漸 漸 難 過, 因 為 以 前 爸 媽 總 是 以 我 為 先, 但 現 在 卻 以 妹 妹 為 中 心 為 什 麼 大 家 都 喜 歡 妹 妹? 十 二 月 佳 作 第 一 次 上 學 3A 林 梓 軒 期 盼 已 久 的 九 月 一 日 終 於 來 了, 這 一 天 就 是 我 踏 上 小 學 生 活 的 第 一 天 我 既 緊 張 又 興 奮, 即 將 開 始 人 生 的 第 一 頁 那 天 早 上, 我 準 時 來 到 學 校, 看 著 很 多 陌 生 的 面 孔, 我 不 禁 有 點 緊 張, 這 時 候 有 一 位 同 學 走 過

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码

系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码 3.1 系统架构与模块仿真文件 作者 : 江亲炜 日期 :2017/1/8 系统架构 - 模块划分 功能 状态机 H265 主要的模块 : 1. 顶层模块 H265ENC_top 包括 sys_ctrl,enc_core 及 fetch 三个模块 2. sys_ctrl 就是一个状态机, 控制 fetch 和 enc_core 中各子模块的工作 3. enc_core 编码器的核心 4. 存取 cur_pixel

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

穨飲食與養老_決定版_.PDF

穨飲食與養老_決定版_.PDF 1 *...... 1 * 1 ( 1986) 2 2 3 4 5 2 3 ( 1984) ( ) ( ) 4 5 ( 1986) 407 3 6 7 6 ( 1992) 1293 1296 7 1278 4 5. 8 9 10 8 ( 1987) 6 7 9 ( 1986) 58 10 8 6 11 12 1. 183 ( ) 13 2. 72 14 3. 4.75 4. 4. 75 11 9 89

More information

untitled

untitled 1 / 207 ...8 1...8 2...11 3...11...15 1...15 2...16 3...19 ---...22 1...22 2...23 3...24 4...26 5...30 6...34...37 1...37 2...37 3...38...39 1...39 2...44...48 1...48 2...50 2 / 207 ...51 1...52 2...53

More information

《捕捉儿童敏感期》

《捕捉儿童敏感期》 捕 捉 儿 童 敏 感 期 出 版 前 言...7 第 一 章 4 个 孩 子 的 敏 感 期 故 事...8 妞 妞 (0 4 岁 )... 8 黑 白 相 交 的 地 方... 8 旋 转... 9 就 不 要 新 帽 子... 9 小 霸 王... 10 诅 咒... 10 畅 畅 (0 4 岁 )... 11 世 界 就 是 味 道... 11 对 接... 12 宝 贝, 你 的 玩 具

More information

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋 學年度 1 國中基本學力測驗 國文考科試題解析 一 單題 1-34 題 1. 本以為這次的計畫萬無一失 沒想到 最後竟無法實行 下列詞語 何者最 適宜填入 中 (A)亡羊補牢 (B)百密一疏 (C)咫尺天涯 (D)千鈞一髮 答 案 B 命題出處 與南一版第二冊第十課吃冰的滋味應用練習第二大題 詞語辨用 題型內涵同 試題解析 題幹中 計畫萬無一失 最後 竟然無法實行 故選(B) (A)亡羊補牢 丟失了羊

More information

untitled

untitled 1917~2006 ( ) 讀 龍 良 龍 來 便 來 便 老 兩 老 了 便 龍 老 更 不 龍 老 離 牢 了 念 便 了 ( ) 省 ( ) 年 6 年 ---- 95 年 ( ) AD1884 年 陸 讀 盧 北 年 葉 蘭 兩 了 葉 蘭 ( ) 來 林 瑩 兩 勵 立 陵 識 離 見 六 年 惡 力 量 年 不 更 歷 練 了 數 更 留 見 六 六 年 紐 不 流 兩 見 來 落 落

More information

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33 附 件 3 吉 林 省 公 布 的 低 价 药 品 清 单 1 甲 苯 咪 唑 片 吉 林 省 第 一 批 低 价 药 2 双 羟 萘 酸 噻 嘧 啶 片 吉 林 省 第 一 批 低 价 药 3 布 洛 芬 ( 缓 释 胶 囊 缓 释 片 颗 粒 ) 吉 林 省 第 一 批 低 价 药 4 复 方 对 乙 酰 氨 基 酚 片 吉 林 省 第 一 批 低 价 药 5 萘 普 生 片 ( 胶 囊 ) 分

More information

untitled

untitled AD1897----1931 () 寧 () 年 22 年 ---- 20 年 () 年 更 麟 來 () 1. 年 12 讀 異 15 年 20 北 行 禮 年 六 22 北 23 留 拉 24 紐 倫 25 倫 羅 離 26 林 27 林 林 不 離 女 29 陸 北 識 30 陸 戀 北 不 不 31 陸 北 梁 32 說 黎 鱗 冷 1 / 15 33 梁 葉 參 35 說 輪 36 1119

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

2.2 主讲教师.doc

2.2 主讲教师.doc 1 1.2.3 2 3 1.2.7 4 1.2.6 5 1.2.8 6 7 8 2.1.7 9 10 11 2 [2001]4 1 2 3 4. 1 2 3 4 5 1 5 2 3 4 5 5 1 2 3 4 5 6 7 8 9 10 B 70?? 6 / 1962.7 2004.9 963200170111012 1969.12 2010.9 20073200170005903 1951.5 2005.9

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

吉林省科技发展计划项目申报书24284

吉林省科技发展计划项目申报书24284 计 划 类 别 : 项 目 类 别 : 支 持 领 域 : 支 持 重 点 : 管 理 处 室 : 科 技 攻 关 计 划 重 点 科 技 攻 关 项 目 工 业 高 新 技 术 领 域 新 一 代 信 息 技 术 及 应 用 高 新 处 项 目 名 称 : 申 报 主 持 单 位 ( 盖 章 ): 项 目 负 责 人 : 通 讯 地 址 : 视 觉 大 数 据 应 用 中 的 前 端 特 征 识

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

信息科学与工程学院立项项目简介

信息科学与工程学院立项项目简介 信 息 科 学 与 工 程 学 院 立 项 项 目 简 介 项 目 名 称 : 基 于 FPGA 的 CPU 核 及 其 虚 拟 平 台 的 设 计 与 实 现 项 目 编 号 :08042002 立 项 人 : 赵 宇 ( 学 生 ) 联 系 电 话 :15950467566 电 子 信 箱 :nic_zy@qq.com 科 学 技 术 的 高 度 发 展, 导 致 了 计 算 机 的 诞 生 及

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

_付_中认协注_2007_118号1.doc

_付_中认协注_2007_118号1.doc 1 2006-2-CQ00009 2 3 4 2006-1-CQ00005 2006-1-CQ00733 2006-1-CQ00002 5 2006-1-CQ00360 6 7 8 9 10 2006-1-CQ00358 2006-1-CE00114 2006-1-CQ00359 2006-1-CE00066 2006-1-CQ00185 11 2006-1-CE00212 12 13 14 15

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D> 第 4 讲 EDA 技术的应用 物理与电子信息学院 卓越工程师 EDA 技术及应用 Tu Qiu 1 EDA 技术的应用 本章概要 : 本章通过用硬件描述语言 Verilog 实现的设计实例, 进一步介绍 EDA 技术在组合逻辑 时序逻辑电路设计以及在测量仪器 通信系统和自动控制等技术领域的综合应用 本章列出的全部 HDL 源程序均通过 Quartus II 工具软件的编译 知识要点 : (1)Verilog

More information

<4D6963726F736F667420576F7264202D2032303135C4EAD5D0C9FABCF2D5C22DCDEAD5FBB0E632303134303932392DB8C4A3A8C5C5A3A92E646F63>

<4D6963726F736F667420576F7264202D2032303135C4EAD5D0C9FABCF2D5C22DCDEAD5FBB0E632303134303932392DB8C4A3A8C5C5A3A92E646F63> 目 录 学 校 概 况 1 报 考 指 南 3 西 南 科 技 大 学 25 年 全 日 制 硕 士 研 究 生 招 生 专 业 目 录 9 学 术 型 专 业 招 生 目 录 9 专 业 学 位 招 生 目 录 25 学 术 型 复 试 科 目 36 专 业 学 位 复 试 科 目 42 西 南 科 技 大 学 25 年 硕 士 研 究 生 招 考 问 答 47 招 生 咨 询 50 招 生 学

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 专 业 代 码 :590215 一 专 业 名 称 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 二 教 育 类 型 及 学 历 层 次 高 等 职 业 教 育, 大 专 层 次 三 入 学 条 件 高 中 毕 业 或 同 等 学 历 者 四 学 制 基 本 学 制 三 年, 最 长 五

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 管 会

挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 管 会 厦 门 积 硕 科 技 股 份 有 限 公 司 Xiamen Jesoo Inc. ( 申 报 稿 ) 推 荐 主 办 券 商 二 零 一 五 年 二 月 1 挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯 基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯片 为适应 Xilinx 最新平台 Zynq 的人机交互需要, 提出一种基于 Zynq 的 OLED

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用

1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 EDA 技术与 VHDL 第 1 章 EDA 技术概述 1.1 EDA 技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术 EDA(Electronic Design Automation) 技术 20 世纪 70 年代 EDA 技术雏形 20 世纪 80 年代 EDA 技术基础形成 20 世纪 90 年代 EDA 技术成熟和实用 1.1 EDA 技术 在 FPGA 上实现 DSP

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

工 作 原 理 电 路 的 工 作 原 理 如 下 : 如 果 积 分 器 输 出 是 正, 第 一 次 比 较 器 将 输 出 一 个 高 信 号 给 触 发 器 的 D 输 入 在 下 一 个 时 钟 脉 冲, 高 信 号 将 从 Q 线 输 出 到 最 后 一 个 比 较 器 的 放 大 器

工 作 原 理 电 路 的 工 作 原 理 如 下 : 如 果 积 分 器 输 出 是 正, 第 一 次 比 较 器 将 输 出 一 个 高 信 号 给 触 发 器 的 D 输 入 在 下 一 个 时 钟 脉 冲, 高 信 号 将 从 Q 线 输 出 到 最 后 一 个 比 较 器 的 放 大 器 Delta Sigma AD 转 换 器 原 理 及 PSPICE 仿 真 作 者 : 陈 拓 2011 年 1 月 5 日 chentuo@ms.xab.ac.cn 概 述 Delta Sigma 或 Σ Δ 模 数 转 换 器 具 有 高 分 辨 率 高 集 成 度 成 本 低 和 使 用 方 便 的 特 点, 近 年 来 得 到 广 泛 的 应 用 特 别 是 Σ Δ ADC 易 于 用 FPGA

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

2015年07月《广播与受众》第七期.doc

2015年07月《广播与受众》第七期.doc 广 播 与 受 众 2015 年 第 七 期 导 读 河 南 广 电 携 手 腾 讯 率 先 开 启 摇 时 代 7 月 28 日, 河 南 省 新 闻 出 版 广 电 局 与 腾 讯 互 联 网 + 广 电 战 略 合 作 新 闻 发 布 会 在 郑 州 举 行 根 据 战 略 协 议, 双 方 将 在 微 信 摇 电 视 微 信 摇 周 边 微 信 支 付 微 信 公 益 等 领 域 展 开 战

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

440 西安理工大学学报 (2013) 第 29 卷第 4 期 良好的性价比 1 直接数字频率合成 (DDS) 的组成及原理 1.1 DDS 多信号发生器结构组成 图 1 是基于 DDS 的多信号发生器组成框图, 包含按键输入 FPGA 芯片 CycloneⅣ 系列器件 D/A 转换器 低通滤波器等

440 西安理工大学学报 (2013) 第 29 卷第 4 期 良好的性价比 1 直接数字频率合成 (DDS) 的组成及原理 1.1 DDS 多信号发生器结构组成 图 1 是基于 DDS 的多信号发生器组成框图, 包含按键输入 FPGA 芯片 CycloneⅣ 系列器件 D/A 转换器 低通滤波器等 西安理工大学学报 JournalofXi anuniversityoftechnology(2013)vol.29no.4 439 文章编号 :1006 4710(2013)04 0439 05 基于 FPGA 的 DDS 多信号发生器的设计与实现 杨大伟, 杨秀芳, 陈剑虹 ( 西安理工大学机械与精密仪器工程学院, 陕西西安 710048) 摘要 : 在实际工业和科技等领域中经常需要高精度且频率方便可调的多信号源

More information

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc 可程序计数数组 (PCA) 功能使用方法 1 适用产品 :SM59D04G2,SM59D03G2 2 应用说明 : PCA 共有五组, 每组皆可工作于以下七种模式 : 捕获模式 - 正缘捕获模式 (Positive edge capture mode) 捕获模式 - 负缘捕获模式 (Negative edge capture mode) 捕获模式 - 正缘及负缘捕获模式 (Both positive

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

294 1945 5 121 1895 1887 8 9 111 113 1984 208 16 1976 33 1936 17 17 1984 33 34 1887 11 9 1905 1929 1971 1944 1 1877 1916 1903 1936 3 9 1912 1889 1906 1880 62 1963 11 2831 1933 9 20 793 216 1933 9 793 1942

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

第 14 卷第 4 期吴奇等 : 一种低功耗高性能数据采集数字处理平台的设计 63 送出去 当这种硬件平台搭建好, 其应用就可以按照后续软件算法的改变而变化, 所以与传统的模拟方式相比, 这种软件无线电平台具有很强的灵活性, 适应性和发放性的特点 文中基于 FPGA, 以及 A/D,D/A 芯片连接

第 14 卷第 4 期吴奇等 : 一种低功耗高性能数据采集数字处理平台的设计 63 送出去 当这种硬件平台搭建好, 其应用就可以按照后续软件算法的改变而变化, 所以与传统的模拟方式相比, 这种软件无线电平台具有很强的灵活性, 适应性和发放性的特点 文中基于 FPGA, 以及 A/D,D/A 芯片连接 装备环境工程第 14 卷第 4 期 62 EQUIPMENT ENVIRONMENTAL ENGINEERING 2017 年 4 月 一种低功耗高性能数据采集数字处理平台的设计 吴奇, 谭志良, 商贺 ( 军械工程学院静电与电磁防护研究所, 石家庄 050003) 摘要 : 目的构建一套基于中频信号基带数字信号处理的软件无线电平台 方法通过选择芯片设计硬件电路设计出合适的 PCB 板 结果主要依据软件无线电平台,

More information

2_ExpPlatform

2_ExpPlatform 第 2 讲 - - 课程设计平台介绍 李峰 fli@sdu.edu.cn https://funglee.github.io 1 实现实验电路的方法主要分为两类 : 一类是使用通用数字集成电路芯片, 通过插接导线构成数字逻辑实验电路 另一类是使用现场可编程逻辑阵列 (FPGA), 通过 EDA 设计技术, 设计和下载电路实现数字逻辑实验电路 该课程设计采用第二类设计方法 2 电子设计自动化技术 (EDA)

More information