Microsoft Word - 專題紙本 最後版本.doc

Size: px
Start display at page:

Download "Microsoft Word - 專題紙本 最後版本.doc"

Transcription

1 崑山科技大學電機工程系 資料擷取之無線系統 : 溫度感測 指導老師 : 蔡和昌老師製作學生 : 林裕皓 4940J009 白裕全 4940J019 邱瀚相 4940J050 中華民國九十八年五月

2 目錄 一 專題動機二 專題簡介三 需要之硬體及軟體四 元件介紹五 電路圖六 8051 程式碼 VB 程式碼七 成果展示八 結論 2

3 專題動機 通訊技術發展可說是一日千里, 從過去以傳送聲音為主的類比電話系統一直發展到數位多媒體傳輸系統, 從有線傳輸發展到無線傳輸! 我們的生活都跟溫度息息相關, 這次研究動機是想說除了透過溫度計外還有什麼辦法可以更精準的看溫度, 所以我們這組就想說用無線傳輸來做溫度感測, 我們直接讓溫度顯示在螢幕上, 這樣能比溫度計來的更準確! 3

4 專題介紹 這次的專題主要是利用溫度感測元件 DS1821 及 27MHz RF 數據傳輸模組所完成的溫度感測裝置此外, 我們將溫度感測裝置的輸出以 RS232 接埠連接至電腦, 用 VB 程式將測量結果顯示於螢幕完成一套無線溫度感測系統以下是本專題之方塊圖無線溫度感測之方塊圖 4

5 5

6 為了完成上述之溫控系統功能, 再發射端需要一個無線傳送模組來發送溫度資料, 溫度偵測與樣率則為每秒一次, 可視實際狀況及偵測裝置其特性, 改變取樣率, 再接收端則需要一個無線接收模組來接收溫度資料, 並將遺資料傳送給電腦端之監督程式, 來做溫度資料收集及危急處理 系統架構方塊圖如圖一所示 圖一 溫控系統架構方塊圖 6

7 需要之硬體及軟體 硬體 電腦主機及螢幕 示波器 電源供應器 探棒 27MHZ FSK RF 數據傳輸模組 89C51 單晶片 MAX232 訊號電位轉換 IC DS1821 溫度感測 IC 軟體 Visual Basic 6.0 Professional 7

8 元件介紹 DS1821 溫度感測 IC DS1821 圖示及接腳介紹 PIN VDD DQ GND 功能簡介電力供應伏特數 +5V 數據的進出數位訊號接地 DS1821 優點 1. 微型化 低功耗 高性能 2. 工作於 -55 至 +125 之溫度之間 3. 抗干擾能力強 易配合微處理器 4. 輸出為數位訊號, 不用另外加上解碼晶片, 使用上更為方便 4 8

9 27MHz 數據傳輸模組 傳送端模組 1.RFO: 傳送資料天線 2.VCC: 正電源 (5V) 3.CND: 接地 4.DIN : 資料輸入腳 5.ENB : 接 HIGH 可傳送資料 接 LOW 停止傳送資料 9

10 接收端模組 1.Ant : 接收資料天線 2.GND : 接地 3.VCC : 正電源 (5V) 4.GND : 接地 5.D/O 6.A/O : 資料輸出腳 : 出廠測試用, 不需接 10

11 本專題模組乃採用頻率移位鍵調變技術 FSK Modulation, 主要是採用頻率變化來表示數位訊號由於數位 訊號只有 0 和 1 兩種因此 FSK 調變輸出也只有兩種頻率變化 11

12 本模組的編碼法適合 Command mode/data mode 切換先連續發射 10 次 High Low 信號作為前導波形接著發射起始波形 600 微秒 High 600 微秒 Low 600 微秒 High 400 微秒 Low 接著把 8 位元資了分為前後兩段 : 每次送出 4 位元的資料之前都加上 Low High 其中每次 High Low 皆為兩百微秒 編碼法波形圖如下 12

13 電路圖 13

14 發送端 ;DS1820 TXD OK ;Date: ;Design: ;Oscilllator Crystal: MHz ;Inital State RFTD_DI REG P3.2 DS_DQ REG P3.3 BUFFER1 EQU 30H BUFFER2 EQU 31H BUFFER3 EQU 32H ORG 0H JMP MAIN MAIN: MOV SP,#5FH MOV P2,#01H CALL RESET_DS1821 MOV A,#01H CALL WRITE_DS1821 MOV A,#33H CALL WRITE_DS1821 CALL RESET_DS1821 MOV A,#02H CALL WRITE_DS1821 MOV A,#0AH CALL WRITE_DS1821 CALL WRITE_DS1821 CALL READ_DS1821 CALL RESET_DS1821 MOV A,#0CH CALL WRITE_DS1821 MOV A,#46H CALL WRITE_DS1821 CALL RESET_DS1821 MOV A,#ACH CALL WRITE_DS1821 CALL READ_DS1821 LOOPDS: CALL RESET_DS1821 MOV A,#0EEH CALL WRITE_DS1821 CALL RESET_DS1821 MOV A,#0AAH CALL WRITE_DS1821 MOV R1,#30 CALL READ_DS1821 CALL RESET_DS1821 MOV A, #22H CALL WRITE_DS1821 CALL RESET_DS1821 MOV A,#0A1H CALL WRITE_DS1821 CALL READ_DS1821 CALL RESET_DS1821 MOV A,#0A2H MOV R1,#30 CALL RF_TXD_D CALL RF_TXD_D CALL RF_TXD_D CALL RF_TXD_D CALL DELAY1S JMP LOOPDS 14

15 DELAY1S: MOV R5,#46 DLY$2: MOV R6,#100 DLY$1: MOV R7,#100 DLY$0: DJNZ R7,DLY$0 DJNZ R6,DLY$1 DJNZ R5,DLY$2 DELAY480U: MOV R7,#221 DELAY380U: MOV R7,#174 DELAY300U: MOV R7,#150 DELAY200U: MOV R7,#100 DELAY100U: MOV R7,#50 DELAY60U: MOV R7,#27 DELAY50U: MOV R7,#25 DELAY30U: MOV R7,#14 DELAY25U: MOV R7,#12 DELAY20U: MOV R7,#10 DELAY15U: MOV R7,#7 DELAY12U: MOV R7,#5 DELAY10U: MOV R7,#4 DELAYS: DJNZ R7,DELAYS RET RF_TXD_D: MOV MOV R4,#10 RF_PREAMLP: SETB RFTD_DI CALL DELAY200U CLR RFTD_DI CALL DELAY200U DJNZ R4,RF_PREAMLP MOV R4,#2 RF_STARTLP: SETB RFTD_DI CALL DELAY300U CALL DELAY300U CLR RFTD_DI CALL DELAY300U CALL DELAY300U DJNZ R4,RF_STARTLP SETB RFTD_DI CALL DELAY200U MOV R3,#4 RX_TD_OUT1: RRC A MOV RFTD_DI,C CALL DELAY200U 15

16 DJNZ R3,RX_TD_OUT1 RET CLR RFTD_DI CALL DELAY200U SETB RFTD_DI CALL DELAY200U MOV R3,#4 RX_TD_OUT2: RRC A MOV RFTD_DI,C CALL DELAY200U DJNZ R3,RX_TD_OUT2 CLR RFTD_DI RET RESET_DS1821: SETB DS_DQ NOP CLR DS_DQ CALL DELAY480U SETB DS_DQ NOP JNB DS_DQ, $ JB DS_DQ, $ JNB DS_DQ, $ CALL DELAY380U SETB DS_DQ WRITE_DS1821: MOV B,#8 XMT_DATA: CLR DS_DQ CALL DELAY10U RRC A MOV DS_DQ,C CALL DELAY60U SETB DS_DQ DJNZ B,XMT_DATA SETB DS_DQ RET READ_DS1821: MOV B,#8 RCV_DATA: SETB DS_DQ NOP CLR DS_DQ SETB DS_DQ NOP CALL DELAY15U MOV C,DS_DQ CALL DELAY30U RRC A DJNZ B,RCV_DATA SETB DS_DQ NOP RET END 16

17 接收端 ;ADRXD 01 OK ;Date: ;Design: ;Oscilllator Crystal: MHz ;Iniral State RF_RX.REF P0.0 BUFFER1.EQU 30H BUFFER2.EQU 31H BUFFER3.EQU 32H BUFFER4.EQU 33H ORG 0H JMP MAIN MAIN: MOV SP,#6FH ORL P0,#0FFH MOV P2,01H CALL INIT_UART LLP: MOV R0,#30H CALL RF_RXDATA LLP2: MOV R1,#31H CALL RF_RXDATA MOV A,BUFFER2 CLR C XRL A,BUFFER1 JNC COMPR1 MOV A,BUFFER2 MOV BUFFER1,A JMP LLP2 COMPR1: MOV R0,#32H CALL RF_RXDATA MOV A,BUFFER3 CLR C XRL A,BUFFER2 JNC COMPR2 MOV A,BUFFER3 MOV BUFFER1,A JMP LLP2 COMPR2: MOV R0,#33H CALL RF_RXDATA MOV A,BUFFER4 CLR C XRL A,BUFFER3 JNC COMPR3 MOV A,BUFFER4 MOV BUFFER1,A JMP LLP2 COMPR3: MOV A,BUFFER4 CALL HEX_OUT CALL DELAY480U CALL DELAY480U CALL DELAY480U JMP LLP DELAY480U: MOV R7,#221 DELAY380U: MOV R7,#174 DELAY300U: 17

18 MOV R7,#150 DELAY200U: MOV R7,#100 DELAY100U: MOV R7,#50 DELAY60U: MOV R7,#27 DELAY50U: MOV R7,#25 DELAY30U: MOV R7,#14 DELAY25U: MOV R7,#12 DELAY20U: MOV R7,#10 DELAY15U: MOV R7,#7 DELAY12U: MOV R7,#5 DELAY10U: MOV R7,#4 DELAYS: DJNZ R7,DELAYS RET RF_RXDATA: JNB RF_RX,S MOV R2,#0 CLR C WAIT_START_SIGNAL_H1: NOP INC R2 JB RF_RX, WAIT_START_SIGNAL_H1 MOV A,R2 SUBB A,#140 JC RF_RXDATA MOV A,R2 SUBB A,#180 JNC RF_RXDATA MOV R2,#0 CLR C WAIT_START_SIGNAL_H2: NOP INC R2 JB RF_RX, WAIT_START_SIGNAL_H2 MOV A,R2 SUBB A,#140 JC RF_RXDATA MOV A,R2 SUBB A,#180 JNC RF_RXDATA MOV R2,#0 CLR C WAIT_START_SIGNAL_L2: NOP INC R2 JB RF_RX, WAIT_START_SIGNAL_L2 MOV A,R2 SUBB A,#140 18

19 JC RF_RXDATA MOV A,R2 SUBB A,#180 JNC RF_RXDATA CALL DELAY200U CALL DELAY200U CLR A MOV R3,#4 RXD_LBYTEP: MOV C,RF_RX RRC A CALL DELAY200U DJNZ R3,RXD_LBYTEP CALL DELAY200U CALL DELAY200U MOV R3,#4 RXD_LBYTEP: MOV C,RF_RX RRC A CALL DELAY200U DJNZ R3,RXD_LBYTEP MOV R3,#4 RET INIT_UART: MOV SCON,# B MOV TMOD,# B MOV TH1,#250 SETB TR1 SETB T1 RET UART_TXD: MOV A,@R1 JNB TI,$ CLR TI MOV SBUF,A RET HEX_OUT: PUSH A MOV DPTR,#ASCT ANL A,#F0H SWAP A MOVC A,@A + DPTR MOV BUFFER4,A MOV R1,#33H CALL UART_TXD POP A PUSH A ANL A,#0FH MOVC A,@A + DPTR MOV BUFFER4,A MOV R1,#33H CALL UART_TXD POP A RET ASCT: DB " ABCDEF".END 19

20 VB 程式碼 Dim UART_DATA As Variant Dim Num() As Byte Dim DSyt As String Dim V1 As Interger Dim TMP As Single Dim Buffer(0 To 15) As Single Dim BufMAT(1 To 3000) As Single Dim BufMATCNT As Interger Private Sub Combol_click() MSComm1.CommPort = Combo1.ListIndex + 1 If MSComm1.PortOpen = False Then MSComm1.PortOpen = Ture Else MSComm1.PortOpen = False End If 'MSComm1.PortOpen = Ture End Sub Private Sub Command1_click() If Timer1.Enabled = False Then Timer1.Enabled = Ture Command1.Caption = " 停止收集溫度 " Else Timer1.Enabled = False Command1.Caption = " 開始收集溫度 " End If End Sub Private Sub Command2_Click() CreatMat End Sub 20

21 Private Sub Command3_Click() Dim i As Integer For i = 0 To 15 Buffer(i) = 0 Next i For i = 1 To 3000 BufMAT(i) = 0 Next i BufMATCNT = 0 End Sub Private Sub Command4_Click() Call sndplaysonud(vbnullstring, 0) End End Sub Private Sub Form_Load() Dim i As Integer MSComm1.ConnPort = 1 Combo1.ListIndex = 0 MSComm1.Settings = "4800,N,8,2" MSComm1.InputLen =0 'MSComm1.PortOpen = Ture MSComm1.InputMode = cominputmodebinary For i = 0 To 15 Buffer(i) = 0 Next i BufMATCNT = 0 21

22 Call SetLenShape End Sub Private Sub Form_QueryUnload(Cancel As Integer, UnloadMode As Integer) Call sndplaysound(vbnullstring, 0) End Sub Private Sub Text1_Change() Check1.Value = 0 End Sub Private Sub Text2_Change() Check1.Value = 0 End Sub Private Sub Timer1_Timer() Dim i As Integer Do DoEvents Loop Until MSComm1.InBufferCount >= 2 UART_DATA = MSComm1.Input Num = UART_DATA Call DStrToTEMP Labell.Caption = Str(TMP) For i = 15 To 1 Step -1 Next i Buffer(i) = Buffer(i - 1) Buffer(0) = TMP BufMATCNT = BufMATCNT +1 If BufMATCNT >= 3000 Then BufMATCNT = 1 BufMAT(BufMATCNT) = TMP 22

23 Labe18.Caption = " 溫度暫存器 :" + Str(BufMATCNT) Call SetLenShape If ((TMP >= Val(Text1.Text)) Or (TMP <= Val(Text2.Text))) And Check1.Value Then 'MsgBox " 溫度超過 " + Str(Text1.Text) + " 以上 ", 48 Label1.ForeClolor = vbred Call sndplaysound(app.path + "\notify.wav", 1 + 8) End If End Sub Sub DStrToTEMP() sum = NUMToHex TMP = sum * 0.02 * 10 ' 轉成溫度 ' 數位資料 128 等於 25.6'C ' 數位之料 129 等於 25.8'C End Sub Function NUMToHex() As Integer ' 轉 16 進制數值 Dim i As Integer Dim ch As String * 1 Dim sum As Integer Sum = 0 DStr = Chr(Num(0)) + Chr(Num(1)) For i = 0 To 1 ch = Mid(DStr, i + 1, 1) Select Case ch Case "0": sum = sum + 0 * (16 ^ (1 - i)) Case "1": sum = sum + 1 * (16 ^ (1 - i)) Case "2": sum = sum + 2 * (16 ^ (1 - i)) 23

24 Case "3": sum = sum + 3 * (16 ^ (1 - i)) Case "4": sum = sum + 4 * (16 ^ (1 - i)) Case "5": sum = sum + 5 * (16 ^ (1 - i)) Case "6": sum = sum + 6 * (16 ^ (1 - i)) Case "7": sum = sum + 7 * (16 ^ (1 - i)) Case "8": sum = sum + 8 * (16 ^ (1 - i)) Case "9": sum = sum + 9 * (16 ^ (1 - i)) Case "A": sum = sum + 10 * (16 ^ (1 - i)) Case "B": sum = sum + 11 * (16 ^ (1 - i)) Case "C": sum = sum + 12 * (16 ^ (1 - i)) Case "D": sum = sum + 13 * (16 ^ (1 - i)) Case "E": sum = sum + 14 * (16 ^ (1 - i)) Case "F": 24

25 sum = sum + 15 * (16 ^ (1 - i)) End Select Next i NUMToHex = sum End Function Sub SetLenShape() ' 設定長度 Dim i As Integer For i = 15 To 0 Step -1 Shape1(i).Height = (2658 / 50) * Buffer(i) Shape1(i).Top = ((2685 / 50) * Buffer(i)) -15 Next i End Sub Sub CreateMAT() ' 紀錄為 MATLAB 檔 Dim i As Integer Open App.Path + "\AD590temp.m" For Output As #1 Print #1,"%" + Str(Date) +Str(Time) Print #1,"% 溫度紀錄 " Print #1,"clear" Print #1,"TMP=[" For i = 1 To BufMATCNT Print #1, Str(BufMAT(i)) Next i Print #1,"];" Print #1,"plot(TMP)" Print #1,"%END" Close #1 End Sub 25

26 成果展示 此為發射端 圖中可以看到 DS1821 溫度 IC 以及 27MHZ FSK RF 數據傳輸模組的發射端而在電 路中我們使用了一顆穩壓 IC 及一顆 86C51 26

27 成果展示 此為接收端 27

28 VB 未執行 VB 啟動後 可以看到溫度為 24 度簡易測試只要將手指放在 DS1821 溫度 IC 上便 會看到溫度慢慢提升 28

29 結論 1 電路學 電子學 通訊系統的基本應用 2 IsSpice VB 程式的基本運用 3 學習到無線通訊模組內部結構與ㄧ些課堂上所沒有的知識 4 儀器設備的使用, 像電子式示波器 電源供應器 5 工作分配及團隊合作的重要 29

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

Microsoft Word - 小心翼翼的二十一點N.doc

Microsoft Word - 小心翼翼的二十一點N.doc 投 稿 類 別 : 資 訊 類 篇 名 : 小 心 翼 翼 的 二 十 一 點 作 者 : 陳 鈺 文 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 李 伯 謙 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 胡 家 媛 國 立 瑞 芳 高 級 工 業 職 業 學 校 資 訊 二 指 導 老 師 : 周 曉 玲 老 師 陳 思 亮 主 任 壹 前 言 一 研 究 動 機 平

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

TC35短信发送程序设计

TC35短信发送程序设计 http://www.dragonsoft.net.cn/down/project/tc35_sms.rar TC35 AT /down/book/tc35_at.pdf TC35/TC35i GSM Modem TC35 GSM POS COM SIM DOWN COM E, vbcr AT VB6.0 1)C# http://www.yesky.com/softchannel/72342380468109312/20040523/1800310.shtml,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

untitled

untitled 說 參 例 邏 邏 1. 說 2. 數 數 3. 8 4. 理念 李 龍老 立 1. 理 料 2. 理 料 3. 數 料 4. 流 邏 念 5. 良 6. 讀 行 行 7. 行 例 來 邏 1. 說 說 識 量 2. 說 理 類 3. 數 數 念 4. 令 5. 良 6. 流 邏 念 7. 說 邏 理 力 1. 2. 3. 4. 5. 列 念 1 參 1. ( Visual Basic 例 ) (1)

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

6. 4 5 6 7 8 9 10 11 ...1... 1...1...1...2... 3...3...5...9... 11...11...11...12...12... 13...13...14... 16...16...19...20 I 1 ---------------------------------------------------3 2 ------------------------------------------------6

More information

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc TwinCAT 2001.12.11 TwinCAT 1. TwinCAT... 3 2.... 4... 4...11 3. TwinCAT PLC... 13... 13 PLC IEC 61131-3... 14 4. TwinCAT... 17... 17 5. TwinCAT... 18... 18 6.... 19 Maschine.pro... 19... 27 7.... 31...

More information

Microsoft Word - 專題製作研究報告書.doc

Microsoft Word - 專題製作研究報告書.doc 大 富 翁 之 我 要 畢 業 指 導 老 師 : 王 啟 修 參 賽 學 生 : 吳 皇 逸 林 晉 逸 黃 亭 瑋 賴 建 豫 嘉 義 高 商 資 料 處 理 科 摘 要 本 專 題 以 大 富 翁 遊 戲 為 基 本 題 材, 並 參 考 任 天 堂 遊 戲 公 司 製 作 的 Mario Party 電 視 遊 戲 和 各 代 的 大 富 翁, 將 不 同 風 格 的 小 遊 戲 與 傳 統

More information

四川省普通高等学校

四川省普通高等学校 四 川 省 普 通 高 等 学 校 计 算 机 应 用 知 识 和 能 力 等 级 考 试 考 试 大 纲 (2013 年 试 行 版 ) 四 川 省 教 育 厅 计 算 机 等 级 考 试 中 心 2013 年 1 月 目 录 一 级 考 试 大 纲 1 二 级 考 试 大 纲 6 程 序 设 计 公 共 基 础 知 识 6 BASIC 语 言 程 序 设 计 (Visual Basic) 9

More information

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344>

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344> 1. 請 問 誰 提 出 積 體 電 路 (IC) 上 可 容 納 的 電 晶 體 數 目, 約 每 隔 24 個 月 (1975 年 更 改 為 18 個 月 ) 便 會 增 加 一 倍, 效 能 也 將 提 升 一 倍, 也 揭 示 了 資 訊 科 技 進 步 的 速 度? (A) 英 特 爾 (Intel) 公 司 創 始 人 戈 登. 摩 爾 (Gordon Moore) (B) 微 軟 (Microsoft)

More information

VB控件教程大全

VB控件教程大全 Datagrid DataGrid1.Columns.Remove(0) ' 0 DataGrid1.Columns.Add(0).Caption= ' DataGrod1.Columns(0).DataField= Name ' Adodc1.Refresh DataGrid BackColor Font DataGrid CellPadding HTML CellSpacing HTML Width

More information

2010年3月计算机等级考试四级网络工程师笔试

2010年3月计算机等级考试四级网络工程师笔试 计 算 机 二 级 VB 经 典 预 测 题 下 列 各 题 A) B) C) D) 四 个 选 项 中, 只 有 一 个 选 项 是 正 确 的 请 将 正 确 选 项 填 涂 在 答 题 卡 相 应 位 置 上, 答 在 试 卷 上 不 得 分 (1) 下 列 叙 述 中 正 确 的 是 ( ) A) 循 环 队 列 是 队 列 的 一 种 链 式 存 储 结 构 B) 循 环 队 列 是 队

More information

Microsoft PowerPoint - VB14.ppt

Microsoft PowerPoint - VB14.ppt VB 列表盒 LISTBOX 應用 資科系 林偉川 執行畫面 1 2 1 重要屬性 LISTBOX 物件 (VB6) 新增至 LISTBOX 物件中 ADDITEM 自 LISTBOX 物件中刪除選取物件 REMOVEITEM 自 LISTBOX 物件中取出選取物件 ListIndex 顯示 LISTBOX 物件中紀錄個數 Listcount 3 LISTBOX 物件 (VB.NET) 重要屬性 新增至

More information

2

2 1 2 1-1 Visual Basic 3 1-2 3/8-3/21 3/22-4/4 4/5-4/18 4/19-5/2 5/3-5/16 5/17-5/30 5/31-6/13 6/14-6/27 6/28-7/11 7/12-7/25 7/26-8/8 8/9-8/22 8/25-9/5 9/6-9/19 9/20-10/3 10/4-10/17 10/18-10/31 11/15-11/28

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

Visual Basic D 3D

Visual Basic D 3D Visual Basic 2008 2D 3D 6-1 6-1 - 6-2 - 06 6-2 STEP 1 5-2 (1) STEP 2 5-3 (2) - 6-3 - Visual Basic 2008 2D 3D STEP 3 User1 6-4 (3) STEP 4 User1 6-5 (4) - 6-4 - 06 STEP 5 6-6 (5) 6-3 6-3-1 (LoginForm) PictureBox1

More information

Excel VBA Excel Visual Basic for Application

Excel VBA  Excel Visual Basic for Application Excel VBA Jun5,00 Sub 分頁 () Dim i As Integer Dim Cname As String Dim Code As Variant Set score=thisworkbook.sheets("sheet") Code=Array(" 專北一 "," 專北二 "," 專北三 "," 專桃園 "," 專桃竹 "," 專中苗 ", " 專台中 "," 專台南 ","

More information

<4D F736F F D B0D3B77EC3FEA7DEC3C0C476C1C9A5BFA6A1B8D5C3442DB57BA6A1B35DAD702DBEC7ACEC2E646F6378>

<4D F736F F D B0D3B77EC3FEA7DEC3C0C476C1C9A5BFA6A1B8D5C3442DB57BA6A1B35DAD702DBEC7ACEC2E646F6378> 全國國高級中中等學校 105 學年度商商業類學學生技藝藝競賽 程式式設計 職職種 學學科 試試卷 崗位位編號 : 姓名 : 注意事項 : 請將答案案劃記於答案案卡, 未依依規定劃記者者不予計分分 試題說明 :( 選擇題每每題 4 分, 共 100 分 ) ( )1. 執行以下 Visual Basic 程式片段, 其結果為何?(A) 15 Dim i As Byte i = &HFC Console.WriteLine(Not

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

穨文件1

穨文件1 2-1 Access 2000 Visual Basic Access 2000 97 Office Visual Basic Visual Basic Visual Basic VBA Visual Basic Visual Basic 2-1-1 Visual Basic Access Visual Basic ( ) 2-1 2-1 Visual Basic 2-1 Microsoft Access

More information

Microsoft PowerPoint - OPVB1基本VB.ppt

Microsoft PowerPoint - OPVB1基本VB.ppt 大 綱 0.VB 能 做 什 麼? CH1 VB 基 本 認 識 1.VB 歷 史 與 版 本 2.VB 環 境 簡 介 3. 即 時 運 算 視 窗 1 0.VB 能 做 什 麼? Visual Basic =>VB=> 程 式 設 計 語 言 => 設 計 程 式 設 計 你 想 要 的 功 能 的 程 式 自 動 化 資 料 庫 計 算 模 擬 遊 戲 網 路 監 控 實 驗 輔 助 自 動

More information

VB程序设计教程

VB程序设计教程 高 等 学 校 教 材 Visual Basic 程 序 设 计 教 程 魏 东 平 郑 立 垠 梁 玉 环 石 油 大 学 出 版 社 内 容 提 要 本 书 是 按 高 等 学 校 计 算 机 程 序 设 计 课 程 教 学 大 纲 编 写 的 大 学 教 材, 主 要 包 括 VB 基 础 知 识 常 用 程 序 结 构 和 算 法 Windows 用 户 界 面 设 计 基 础 文 件 处

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f

27 :OPC 45 [4] (Automation Interface Standard), (Costom Interface Standard), OPC 2,,, VB Delphi OPC, OPC C++, OPC OPC OPC, [1] 1 OPC 1.1 OPC OPC(OLE f 27 1 Vol.27 No.1 CEMENTED CARBIDE 2010 2 Feb.2010!"!!!!"!!!!"!" doi:10.3969/j.issn.1003-7292.2010.01.011 OPC 1 1 2 1 (1., 412008; 2., 518052), OPC, WinCC VB,,, OPC ; ;VB ;WinCC Application of OPC Technology

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc Watch Dog Timer () 功能使用說明 ( 使用內部 250KHz) 適用產品 :SM59264 SM59128 SM8954A SM8958A SM89516A SM894051 SM79108 SM79164 SM59D03/04G2 應用說明 : 1 針對使用新茂 (SyncMOS)MCU, 如有因程式設計之關係, 需防止當機之發生時, 可啟動 Watch Dog 功能, 以防止當機之發生

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

2009年3月二级VB语言笔试真题

2009年3月二级VB语言笔试真题 2008 年 9 月 计 算 机 二 级 VB 语 言 真 题 一 选 择 题 ( 每 题 2 分, 共 计 70 分 ) 1. 一 个 栈 的 初 始 状 态 为 空 现 将 元 素 1 2 3 4 5 A B C D E 依 次 入 栈, 然 后 再 依 次 出 栈, 则 元 素 出 栈 的 顺 序 是 A)12345ABCDE B)EDCBA54321 C)ABCDE12345 D)54321EDCBA

More information

投稿類別:電子工程類

投稿類別:電子工程類 投 稿 類 別 : 工 程 技 術 類 篇 名 : 井 字 生 死 戰 攻 略 作 者 : 陳 威 宇 國 立 臺 南 高 級 海 事 水 產 職 業 學 校 電 子 科 二 年 甲 班 邱 富 群 國 立 臺 南 高 級 海 事 水 產 職 業 學 校 電 子 科 二 年 甲 班 指 導 老 師 : 林 育 助 老 師 王 彥 盛 老 師 壹 前 言 家 喻 戶 曉 的 井 字 遊 戲 (Tic-Tac-Toe)

More information

2009年3月二级VB语言笔试真题

2009年3月二级VB语言笔试真题 2008 年 4 月 计 算 机 二 级 考 试 VB 笔 试 试 题 一 选 择 题 ( 每 题 2 分, 共 计 70 分 ) 1. 程 序 流 程 图 中 带 有 箭 头 的 线 段 表 示 的 是 A) 图 元 关 系 B) 数 据 流 C) 控 制 流 D) 调 用 关 系 2. 结 构 化 程 序 设 计 的 基 本 原 则 不 包 括 A) 多 态 性 B) 自 顶 向 下 C) 模

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

PowerPoint Presentation

PowerPoint Presentation Visual Basic 2005 學 習 範 本 第 7 章 陣 列 的 活 用 7-1 陣 列 當 我 們 需 要 處 理 資 料 時, 都 使 用 變 數 來 存 放 資 料 因 為 一 個 變 數 只 能 代 表 一 個 資 料, 若 需 要 處 理 100 位 同 學 的 成 績 時, 便 要 使 用 100 個 不 同 的 變 數 名 稱, 這 不 但 會 增 加 變 數 名 稱 命 名

More information

0SQL SQL SQL SQL SQL 3 SQL DBMS Oracle DBMS DBMS DBMS DBMS RDBMS R DBMS 2 DBMS RDBMS R SQL SQL SQL SQL SELECT au_fname,au_ lname FROM authors ORDER BY

0SQL SQL SQL SQL SQL 3 SQL DBMS Oracle DBMS DBMS DBMS DBMS RDBMS R DBMS 2 DBMS RDBMS R SQL SQL SQL SQL SELECT au_fname,au_ lname FROM authors ORDER BY 0 SQL SQL SELECT DISTINCT city, state FROM customers; SQL SQL DBMS SQL DBMS SQL 0-1 SQL SQL 0SQL SQL SQL SQL SQL 3 SQL DBMS Oracle DBMS DBMS DBMS DBMS RDBMS R DBMS 2 DBMS RDBMS R SQL SQL SQL SQL SELECT

More information

ORG 00BH JMP TIMER0 ORG 100H MOV TMOD,# B MOV IE,# B MOV TH0,#( )/32 MOV TL0,#( ).MOD.32 SETB P2.0 SETB TR0 JMP $ MOV R0,#

ORG 00BH JMP TIMER0 ORG 100H MOV TMOD,# B MOV IE,# B MOV TH0,#( )/32 MOV TL0,#( ).MOD.32 SETB P2.0 SETB TR0 JMP $ MOV R0,# 分數學號姓名 EE3046 Microcomputers Theory and Laboratory, 2016 Spring Semester Final Exam (8051) Scope: MTS-51 9:00~11:30, 2016.6.13 A simple list of instruction set can be found in the lecture note of Chapter

More information

untitled

untitled 例 立 劉 1 1991 來 Schollmeyer,1996; Volet & Lund, 1994 邏 力 林 2004 歷年 路 類 列 若 邏 力 來 路 力 益 例 數 列 類 論 數 識 數 數 沈 練 念 不 1991 狀 狀 理歷 Mayer, 1992 不 力 不 力 立 1994 了 更 參 力 力 力 靈 力 力 來 識 立 練 識 力 2000 來 1995 切 識 異 勵

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

全国计算机技术与软件专业技术资格(水平)考试

全国计算机技术与软件专业技术资格(水平)考试 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 2008 年 上 半 年 程 序 员 下 午 试 卷 ( 考 试 时 间 14:00~16:30 共 150 分 钟 ) 试 题 一 ( 共 15 分 ) 阅 读 以 下 说 明 和 流 程 图, 填 补 流 程 图 中 的 空 缺 (1)~(9), 将 解 答 填 入 答 题 纸 的 对 应 栏 内 [ 说 明

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

一、

一、 VB&RS232 車 老 年 理 路 來 行錄 理 錄 不易 路 例 路 連 路 來 論 都 連 錄 來 料量 便 路 不易 連 路 省 裡 路 來 量 I 錄 數 I 錄 II 錄 1 1.1 1 1.2 2 路 3 2.1 路 3 2.2 3 2.3 路 4 路說 5 3.1 89C51 路 5 3.2 RS232 路 7 3.3 5V 8 TG-11----------------------------------------------12

More information

1. 走 入 科 学 世 界 Ⅳ 考 试 内 容 内 容 主 题 知 识 内 容 认 知 要 求 1.1 科 学 探 究 1.1.1 科 学 探 究 的 过 程 和 步 骤 分 析 1.2 科 学 实 验 室 1.2.1 实 验 室 的 安 全 守 则 1.2.2 危 险 化 学 药 品 的 安 全

1. 走 入 科 学 世 界 Ⅳ 考 试 内 容 内 容 主 题 知 识 内 容 认 知 要 求 1.1 科 学 探 究 1.1.1 科 学 探 究 的 过 程 和 步 骤 分 析 1.2 科 学 实 验 室 1.2.1 实 验 室 的 安 全 守 则 1.2.2 危 险 化 学 药 品 的 安 全 (JC05 JE05) 马 来 西 亚 华 文 独 立 中 学 初 中 统 一 考 试 科 学 考 试 纲 要 Ⅰ 考 试 性 质 初 中 统 考 科 学 的 考 试 是 要 评 定 华 文 独 立 中 学 初 中 生 完 成 三 年 初 中 科 学 课 程 之 后 的 知 识 及 能 力 水 平 Ⅱ 考 试 目 标 1. 基 础 知 识 1.1 知 道 科 学 的 基 本 事 实 1.2 知 道

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

新 闻 学 46 7 新 闻 传 播 学 院 广 告 学 28 4 广 播 电 视 学 23 3 新 闻 学 广 告 学 100 15 1). 级 学 生 申 请 准 入 需 修 完 或 正 在 修 2 门 专 业 准 入 课 程 并 取 得 相 应 学 分 ;2). 级 学 生 申 请 准 入 需

新 闻 学 46 7 新 闻 传 播 学 院 广 告 学 28 4 广 播 电 视 学 23 3 新 闻 学 广 告 学 100 15 1). 级 学 生 申 请 准 入 需 修 完 或 正 在 修 2 门 专 业 准 入 课 程 并 取 得 相 应 学 分 ;2). 级 学 生 申 请 准 入 需 文 学 院 汉 语 言 文 学 100 15 汉 语 言 文 学 75 11 1. 级 学 生 申 请 准 入 需 至 少 完 成 本 专 业 准 入 课 程 12 个 学 分 ; 级 学 生 申 请 准 入 需 至 少 完 成 本 专 业 准 入 课 程 15 个 学 分 ; 2. 原 专 业 学 分 绩 在 4.0 以 上 2. 符 合 准 入 条 件 的 学 生 需 参 加 学 院 组 织 的

More information

<4D6963726F736F667420576F7264202D20B3CCD0F2D4B12DC9CFCEE7CCE2A3AD3037C9CF>

<4D6963726F736F667420576F7264202D20B3CCD0F2D4B12DC9CFCEE7CCE2A3AD3037C9CF> 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 2007 年 上 半 年 程 序 员 上 午 试 卷 ( 考 试 时 间 9 : 00~11 : 30 共 150 分 钟 ) 请 按 下 述 要 求 正 确 填 写 答 题 卡 1. 在 答 题 卡 的 指 定 位 置 上 正 确 写 入 你 的 姓 名 和 准 考 证 号, 并 用 正 规 2B 铅 笔 在

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

1985 223 1582 183 1283 40 300 90 74 1985 1971 12000 2 5 1971 2 1 1973 26 12 1 28400 6 260 70 1949 10 1958 2 5 1 5 1 5 250 60 1983 1985 1957 1 1963 196

1985 223 1582 183 1283 40 300 90 74 1985 1971 12000 2 5 1971 2 1 1973 26 12 1 28400 6 260 70 1949 10 1958 2 5 1 5 1 5 250 60 1983 1985 1957 1 1963 196 50 40 1957 20 1958 4 63600 3300 1970 50 1972 1970 196 120 30 2 13 1970 9 1975 1981 7 1981 70 1979 1988 22 43 1990 22497 17647 93 220 27 5382 30 4270 78 1985 223 1582 183 1283 40 300 90 74 1985 1971 12000

More information

3 Driver do Microsoft Access (*.mdb) hisdata IFIX 1.4

3 Driver do Microsoft Access (*.mdb) hisdata IFIX 1.4 IFix3.5 ACCESS ACCESS hisdata D:\Dynamics\SampleSystem\HistoricalData ODBC DSN hisdata 1 ODBC 1.1 2 1.2 3 Driver do Microsoft Access (*.mdb) 1.3 4 hisdata IFIX 1.4 1.4 5 Access 1.5 6 ODBC ifix3.5 1.6 1.6

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

untitled

untitled Visual Basic 2005 (VB.net 2.0) hana@arbor.ee.ntu.edu.tw 立 六 數 串 數數 數 數 串 數 串 數 Len( 串 ) 串 度 Len( 123 )=3 LCase( 串 ) 串 LCase( AnB123 ) anb123 UCase( 串 ) 串 UCase( AnB123 ) ANB123 串 數 InStr([ ], 串 1, 串 2[,

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

标题

标题 4 短 篇 小 说 : 文 学 常 态 下 的 精 益 求 精 摘 要 : 2014 年 对 短 篇 小 说 而 言 是 一 个 常 态 的 文 学 时 段, 真 正 做 到 精 益 求 精 的 短 篇 小 说 还 是 太 少 作 家 的 立 场 表 现 了 对 于 真 善 美 的 维 护, 对 于 正 义 平 等 自 由 等 人 类 共 同 精 神 价 值 的 捍 卫 的 倾 向 因 此 作 家

More information

湖南省自然科学基金

湖南省自然科学基金 西 北 师 范 大 学 教 学 团 队 建 设 任 务 书 团 队 名 称 : 大 学 计 算 机 基 础 系 列 课 程 教 学 团 队 团 队 带 头 人 : 任 小 康 所 在 学 院 : 数 学 与 信 息 科 学 学 院 ( 盖 章 ) 团 队 批 准 日 期 : 2009 年 12 月 联 系 电 话 : 7971327 电 子 信 箱 : renxk@nwnu.edu.cn 填 报 日

More information

多層次傳銷與獎金系統

多層次傳銷與獎金系統 醒 吾 技 術 學 院 資 訊 管 理 系 ( 五 專 部 ) 九 十 六 學 年 度 畢 業 專 題 多 層 次 傳 銷 與 獎 金 系 統 組 員 : 921506122 游 濬 瑋 921506126 陳 彥 宇 921506139 林 龍 華 921506144 陳 昶 志 921506149 楊 璧 如 指 導 老 師 : 汪 淵 老 師 中 華 民 國 九 十 七 年 一 月 十 一 醒

More information

月光迴旋曲

月光迴旋曲 臺 北 人, 淡 江 大 學 中 文 所 畢 曾 任 電 腦 雜 誌 採 編 電 視 臺 執 行 製 作 高 職 專 任 導 師, 曾 獲 耕 莘 四 十 週 年 臺 灣 之 顏 文 學 獎 2007 全 國 臺 灣 文 學 營 創 作 獎 第 二 十 四 屆 聯 合 文 學 小 說 新 人 獎 第 九 屆 暨 第 十 二 屆 臺 北 文 學 獎 九 十 九 年 教 育 部 文 藝 創 作 獎 第

More information

車在走天在看 PC前的三輪車日記

車在走天在看 PC前的三輪車日記 車 PC 輪車 老 : 林 : 龍 : 車 :2005/6/27 1. 2. 3. 4. 理 5. 6. 論 7. 論 1. 車 藍 89C51 串列 車 類 不 類 2. 利 路 料 連 車 利 A.B. 藍 串列 藍 車 六 藍 留 來 六 串列 行 兩 理串列 料 率 來 車 藍 串列 料讀 來 路流 更 藍 89C51 3. Hin232 IC IC RS232 串列 7404 89C51

More information

吳元康

吳元康 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 精 密 雙 軸 平 台 之 微 動 控 制 設 計 The Design of Micromovement Control of the Precision X-Y Stage 指 導 教 授 : 張 興 政 學 生 : 鄭 慶 朗 中 華 民 國 九 十 三 年 六 月 逢 甲 大 學 自 動 控 制 工 程 學 系

More information

从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造 新 龙 围 空 间 新 龙 围 初 衷 是 打 造 成 一 个 集 村 民 聚 会 文 化 展 览 产 品 展 示 培 训 会 议 等 多 功 能 的 村 民 活 动 中 心, 在 2015 年 7 月 15 日 开 张

从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造 新 龙 围 空 间 新 龙 围 初 衷 是 打 造 成 一 个 集 村 民 聚 会 文 化 展 览 产 品 展 示 培 训 会 议 等 多 功 能 的 村 民 活 动 中 心, 在 2015 年 7 月 15 日 开 张 绿 耕 简 报 二 〇 一 五 年 八 月 第 一 期 广 东 绿 耕 社 会 工 作 发 展 中 心 城 乡 合 作 公 平 贸 易 共 创 生 态 文 明 与 可 持 续 生 活 新 龙 围 重 生 之 旅, 仍 在 继 续 从 化 连 南 四 川 云 南 沙 东 培 训 中 山 大 学 - 香 港 理 工 大 学 从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

ThreeDtunnel.doc

ThreeDtunnel.doc (12) 1 1. Visual Basic Private Sub LoadDatabase() Dim strip As String Dim straccount As String Dim strpassword As String Dim strdatabase As String Dim strtable As String Dim strsql As String Dim strtemp1

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Aquasnap Junior 30RH/RA RH/RA

Aquasnap Junior 30RH/RA RH/RA Aquasnap Junior 30RH/RA007-013 - 2004 11 25 1 30RH/RA007-013 2 30RH/RA007-013 30RH/ RA007-013 30RH/RA Junior Aquasnap CCN PRO-Dialog Plus PRO-DIALOG Plus PRO-Dialog Plus PID PRO-Dialog Plus PRO-Dialog

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

Microsoft PowerPoint - chap7.ppt

Microsoft PowerPoint - chap7.ppt 轉換十六進制數字為七段顯示碼 轉換十六進制數字為七段顯示碼 a f g b e d c (a) 七段 LED 顯示器 7 6 5 4 3 2 1 0 位元 0 g f e d c b a 數碼 (b) 顯示器數碼編碼格式 輸入 g f e d c b a 十六進制輸入 g f e d c b a 十六進制 0 0 1 1 1 1 1 1 3F 8 1 1 1 1 1 1 1 7F 1 0 0 0 0

More information

ActiveX Control

ActiveX Control ActiveX Control For Visual Basic 2005.NET [ 版本 : 1.0] 1 安裝 Windows 驅動程式 請依照下列步驟 : 1. 執行 Windows 驅動程式安裝程式 ( 此範例為 PIO-DIO) 驅動程式位置 : CD:\NAPDOS\PCI\PIO-DIO\dll_ocx\Driver http://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/pio-dio/dll_ocx/driver/

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information