DS v0-Z

Size: px
Start display at page:

Download "DS v0-Z"

Transcription

1 FUJITSU SEMICONDUCTOR DATA SHEET DS v0-Z 铁电存储器 4 M (256 K 16) 位 MB85R4M2T 产品描述 MB85R4M2T 是一种 FRAM ( 铁电随机存取内存 ) 芯片, 由使用铁电工艺和硅栅 CMOS 工艺技术制造的 262, 位非易失性存储单元构成 MB85R4M2T 无需备用电池即可保持数据, 这正是 SRAM 所需的功能 MB85R4M2T 中使用的存储单元可用于 读 / 写操作, 这是对闪存和 E 2 PROM 支持的读写操作次数的重大改进 MB85R4M2T 採用虚拟静态随机存取 (SRAM) 接口 特点 位配置 :262, 位 LB 和 UB 数据字节控制 : 可用配置 524,288 8 位 读 / 写耐久性 :10 13 次 / 16 位 数据保持 :10 年 ( + 85 C ),95 年 ( + 55 C ),200 年以上 ( + 35 C ) 工作电源电压 :1.8 V 到 3.6 V 低功耗 : 工作电源电流 20 ma ( 最大值 ) 待机电流 150 μa ( 最大值 ) 休眠电流 20 μa ( 最大值 ) 工作环境温度范围 : 40 C 到 + 85 C 封装 :44 引脚塑料 TSOP (FPT-44P-M34) 均符合 RoHS Copyright FUJITSU SEMICONDUCTOR LIMITED

2 引脚分配 (TOP VIEW) A4 A3 A2 A1 A0 / CE I/ O0 I/ O1 I/ O2 I/ O3 VDD VSS I/ O4 I/ O5 I/ O6 I/ O7 / WE A17 A16 A15 A14 A A5 A6 A7 / OE / UB / LB I/ O15 I/ O14 I/ O13 I/ O12 VSS VDD I/ O11 I/ O10 I/ O9 I/ O8 / ZZ A8 A9 A10 A11 A12 FPT- 44P- M34 2 DS v0-Z

3 引脚功能描述 引脚编号 引脚名称 功能描述 A0 到 A17 1 到 5, 18 到 22, 23 到 27, 42 到 44 地址输入引脚通过 18 个地址输入引脚, 在 FRAM 存储器阵列中选择 262,144 若在/CE 为 低 电平期间变更了这些地址输入, 将对转换后在该地址中选择的数据进行读操作 7 到 10, 13 到 16, 29 到 32, 35 到 38 I/O0 到 I/O15 数据输入 / 输出引脚有 16 个用于读 / 写的双向引脚 6 /CE 芯片使能输入引脚若 /CE 为 低 电平且 /ZZ 为 高 电平, 器件将被激活并可开始存储器存取 写操作时, 来自 I/O 引脚的输入数据在 /CE 的上升沿被锁存并被写入 FRAM 存储器阵列 17 /WE 写使能输入引脚写操作开始于 /WE 的下降沿 来自 I/O 引脚的输入数据在 /WE 的上升沿被锁存并被写入 FRAM 存储器阵列 41 /OE 输出使能输入引脚 /OE 为 低 电平时, 有效数据被输出至数据总线 /OE 为 高 电平时, 所有 I/O 引脚变为高阻抗 (High-Z) 状态 28 /ZZ 休眠模式输入引脚 /ZZ 变为 低 电平时, 器件将转变为休眠模式 读 / 写操作期间,/ZZ 引脚应保持 高 电平 39, 40 /LB, /UB 低位 / 高位字节控制输入引脚若 /LB 或 /UB 为 低 电平, 可分别进行 I/O0 到 I/O7 或 I/O8 到 I/O15 的读 / 写操作 若 /LB 和 /UB 为 高 电平, 所有 I/O 引脚将变为 High-Z 状态 11, 33 VDD 电源电压引脚将所有这两个引脚连接至电源 12, 34 VSS 接地引脚将所有这两个引脚连接至地 注意 : 关于各个引脚的功能描述, 请参照时序图 DS v0-Z 3

4 方块图 A0 to A17 Address /ZZ /CE /WE /OE /UB Control circuits Row Decoder FRAM Array 262, Column Decoder / Sense Amp. / Write Amp. I/O0 to I/O15 /LB 功能真值表操作模式 /CE /WE /OE A0 到 A17 /ZZ 休眠 L 待机 H H 读 H L H or L H 地址存取读 L H L or H 写 (/CE 控制 ) *1 L H or L H 写 (/WE 控制 ) *1*2 L H or L H 地址存取写 *1*3 L or H 预充 H 注意 : H= H 电平, L= L 电平, = 上升沿, = 下降沿, = H, L, 或 *1: 在写周期中, 输入数据在 /CE 或 /WE 的上升沿开始阶段被锁存 *2: 在 /WE 控制的写入序列中, 在 /CE 的下降沿存在读周期的数据输出的时间 *3: 在地址存取写的写入序列中, 地址转换时存在读周期的数据输出的时间 4 DS v0-Z

5 状态转换图 Power Up Standby /CE=L, /ZZ=H /CE=H,/ZZ=H RD/WR Operation /ZZ=H /ZZ=L Sleep 字节控制的功能真值表 操作模式 /WE /OE /LB /UB I/O0 到 I/O7 I/O8 到 I/O15 读 ( 无输出 ) H H Hi-Z Hi-Z H H H Hi-Z Hi-Z 读 (I/O8 到 I/O15) H L Hi-Z 数据输出 读 (I/O0 到 I/O7) H L L H 数据输出 Hi-Z 读 (I/O0 到 I/O15) L L 数据输出 t 数据输出 写 (I/O8 到 I/O15) H L 数据输入 写 (I/O0 到 I/O7) L H 数据输入 写 (I/O0 到 I/O15) L L 数据输入 数据输入 注意 :H= H 电平, L= L 电平, = 上升沿, = 下降沿, = H, L, 或 Hi-Z= 高阻抗 若未选择字节读或写,/LB 和 /UB 引脚应接至 GND 引脚 DS v0-Z 5

6 绝对最大额定值 参数 符号 额定值最小值最大值 单位 电源电压 * VDD V 输入电压 * VIN 0.5 VDD ( 4.0) V 输出电压 * VOUT 0.5 VDD ( 4.0) V 工作环境温度 TA C 储存温度 Tstg C *: 上述参数值以 VSS = 0 V 为基准 < 警告 > 如在半导体器件上施加的负荷 ( 电压 电流 温度等 ) 超过最大额定值, 将会导致该器件永久性 损坏, 因此任何参数均不得超过其绝对最大额定值 推荐工作条件 参数 符号 值最小值典型值最大值 单位 电源电压 *1 VDD V 工作环境温度 *2 TA C *1: 上述参数值以 VSS = 0 V 为基准 *2: 仅适用于本芯片在运行时的周围环境温度 可以理解成此温度与芯片表面的温度几乎相同 < 警告 > 为确保半导体器件的正常运作, 必须在推荐的运行环境或条件下使用 器件在所推荐的环境或 条件下运行时, 其全部电气特性均可得到保证 请务必在所推荐的工作环境或条件范围内使用该半导体器件 如超出该等范围使用, 可能会影响该器件的可靠性并导致故障 本公司对本数据手册中未记载的使用范围 运行条件或逻辑组合不作任何保证 如果用户欲在所列条件之外使用器件, 请务必事先联系销售代表 6 DS v0-Z

7 电气特性 1. 直流特性 ( 在推荐工作条件内 ) 值参数符号条件单位最小值典型值最大值输入漏电流 ILI VIN = 0V 到 VDD 5 μa 输出漏电流 ILO VOUT = 0V 到 VDD /CE = VIH 或 /OE = VIH 5 μa 工作电源电流 *1 IDD /CE = 0.2V, Iout = 0 ma ma 待机电流 ISB /ZZ VDD 0.2V /CE, /WE, /OE VDD 0.2V /LB, /UB VDD 0.2V μa 其他 VDD 0.2V or 0.2V 休眠电流 IZZ /ZZ = VSS /CE, /WE, /OE VDD 0.2V /LB, /UB VDD 0.2V 5 20 μa 其他 VDD 0.2V or 0.2V 高 电平输入电压 VIH VDD = 1.8V 到 3.6V VDD 0.8 VDD V 低 电平输入电压 VIL VDD = 1.8V 到 3.6V 0.3 VDD 0.17 V 高电平输出电压 VOH1 VDD = 2.7 V to 3.6V IOH = 1.0mA VDD 0.8 VOH2 VDD = 1.8V to 2.7 V IOH = 100μA VDD 0.2 V 低电平输出电压 VOL1 VDD = 2.7V to 3.6V IOL = 2.0mA 0.4 VOL2 VDD = 1.8V to 2.7V IOL = 150μA 0.2 V *1: 在 IDD 测量期间, 所有地址和 I/O 在每个有效周期仅变更一次 Iout : 输出电流 DS v0-Z 7

8 2. 交流特性 交流特性测试条件 电源电压 : 1.8 V 到 3.6 V 工作环境温度 : 40 C 到 + 85 C 输入电压振幅 : 0 V / VDD 输入上升时间 : 3 ns 输入下降时间 : 3 ns 输入评估电平 : VDD/2 输出评估电平 : VDD/2 输出负载电容 : 30 pf (1) 读周期 参数 符号 值 (V DD=1.8V 到 2.7V) 值 (V DD=2.7V 到 3.6V) 单位 最小值 最大值 最小值 最大值 读周期时间 t RC ns /CE 活动时间 t CE ns 地址存取时间 t AA ns /OE 输出数据保持时间 t OH 0 0 ns 输出数据保持时间 t OAH ns /CE 活动时间 t CA ns 预充时间 t PC ns /LB, /UB 存取时间 t BA ns 地址设置时间 t AS 0 0 ns 地址保持时间 t AH ns /CE 到地址转换时间 *1 tcah 0 0 ns /OE 存取时间 t OE ns *1 /CE 输出浮动时间 t HZ ns /OE 输出浮动时间 t OHZ ns /LB, /UB 输出浮动时间 t BHZ ns *1 地址转换时间 t AX ns *1: 与写周期的参数相同 8 DS v0-Z

9 (2) 写周期 参数 符号 值 (V DD=1.8V 到 2.7V) 值 (V DD=2.7V 到 3.6V) 单位 最小值 最大值 最小值 最大值 写周期时间 t WC ns /CE 活动时间 t CA ns /CE 到 /WE 时间 t CW ns 预充时间 t PC ns 写脉冲宽度 t WP ns 地址设置时间 t AS 0 0 ns 地址保持时间 t AH ns /WE 到 /CE 时间 t WLC ns 地址转换到 /WE 时间 t AWH ns /WE 到地址转换时间 t WHA 0 0 ns /LB, /UB 设置时间 t BS 2 2 ns /LB, /UB 保持时间 t BH 0 0 ns 数据设置时间 t DS ns 数据保持时间 t DH 0 0 ns /WE 输出浮动时间 t WZ ns *1 /WE 输出存取时间 t WX ns *1 写设置时间 t WS 0 0 ns *1 写保持时间 t WH 0 0 ns *1: 写操作根据 /CE 与 /WE 时序的关系适用 写周期时序 1 或 写周期时序 2 t WX, t WS 和 t WH 的 值由这些操作定义 装运测试时未对 t WS 和 t WH 的状态进行检查 (3) 电源 ON/OFF 序列和休眠模式周期参数 符号 值最小值最大值 单位 电源 ON 的 /CE 电平保持时间 t PU 450 μs 电源 OFF 的 /CE 电平保持时间 t PD 85 ns 电源上升时间 t VR 50 μs/v 电源下降时间 t VF 100 μs/v /ZZ 活动时间 t ZZL 1 μs 休眠模式启用时间 t ZZEN 0 μs 解除休眠模式時的 /CE 电平保持时间 t ZZEX 450 μs DS v0-Z 9

10 3. 引脚电容 值 参数 符号 l 条件 最小 典型 最大 值 值 值 输入电容 C IN 6 pf VDD = 3.3 V, 输入 / 输出电容 (I/O 引脚 ) C I/O 8 pf f = 1 MHz, T A = + 25 C /ZZ 引脚输入电容 C ZZ 8 pf Unit 交流测试负载电路 VDD 1.2k Output 30pF 0.95k 10 DS v0-Z

11 时序图 1. 读周期时序 1 (/CE 控制 ) t RC t AH t CAH A0 to A17 Valid Add ress t AS t CA t OH / CE t PC t CE t HZ / OE t OE t BA t OHZ / LB,/ UB t BHZ I/ O0 to I/ O15 XXX : H or L Valid Out put Da ta 2. 读周期时序 2 ( 地址存取 ) t PC t AS t AH t AX t RC t AX t RC t CAH A0 to A17 Valid Address Valid Address Valid Address t CE t AA t AA t OH / CE t OE t HZ / OE t OH Z t BA / LB,/ UB t BHZ t OAH t OAH I/ O0 to I/ O15 Valid Out put Data Valid Out put Data Valid Out put Data XXX : H or L DS v0-Z 11

12 3. 写周期时序 1 (/WE 控制 ) t WC t AH t CA A0 to A17 Valid Address t AS t CW t CAH t AS /CE t WLC t PC t WZ t WHA /WE t BS t WP t WX t BH /LB, /UB t DS t DH t HZ I/O0 to I/O15 XXX : H or L Invalid Output Data Valid Input Data Invalid Output Data * : In case the /OE is L level, invalid data are output to data bus. * : In case the /OE is L level, any bus conflict of input and output data shall not occur. 4. 写周期时序 2 (/CE 控制 ) t WC t AS t AH t AS A0 to A17 Valid Address t CA t CAH / CE t PC / WE t WS t WH t BS t BH / LB, / UB t DS t DH I/ O0 to I/ O15 XXX : H or L Valid Input Data 12 DS v0-Z

13 5. 写周期时序 3 ( 地址存取和 /WE 控制 ) t AS t PC t t AX t t AH WC AX t WC t CAH A0 to A17 Valid Address Valid Address Valid Address t HZ / CE t WP t WHA t AWH t WHA t AWH t WHA / WE t BS t BH / LB,/ UB t WX t WP t WX t WP t WX t WZ t DS t DH t WZ t DS t DH t WZ t DS t DH I/ O0 to I/ O15 Valid Out put Data Valid Input Data Valid Input Data Invalid Out put Data Invalid Out put Data Invalid Out put Data Invalid Out put Data XXX : H or L * : In case the / OE is L level, invalid dat a are output to data bus. * : In case the / OE is L level, any bus conflict of input and output data shal l not occur. 6. 休眠模式时序 t PC t ZZEN t ZZEX / CE / WE t ZZL / ZZ I/ O0 to I/ O15 DS v0-Z 13

14 上电 / 掉电序列 t PD t VF t VR t PU V DD V DD V DD (Min) V IH (Min) V IH (Min) 1.0V V IL (Max) V IL (Max) V SS V SS /CE /CE >V DD 0.8* /CE Don t Care /CE>V DD 0.8* * : /CE (Max) < V DD +0.3V FRAM 特性 参数 最小值 最大值 单位 *1 读 / 写耐久性 次 / 16 位 工作环境温度 T A = + 85 C 10 工作环境温度 T A = + 85 C *2 数据保持 95 年 工作环境温度 T A = + 55 C 200 工作环境温度 T A = + 35 C *1: 由于 FRAM 存储采用破坏性读出机制操作, 这里的读 / 写耐久性的最小值定义为读和写的次数的总 和 *2: 数据保持年数是指出厂交货后第一次读 / 写数据的保持时间 这些保持时间是根据可靠性评估结果得 出的换算值 备注 使用说明 请在回流焊完成以后写入数据 此产品不能保证回流焊工序完成前写入的数据 14 DS v0-Z

15 ESD 和闩锁 测试 DUT 值 ESD HBM ( 人体模型 ) 符合 JESD22-A V ESD MM ( 机器模型 ) 符合 JESD22-A V ESD CDM ( 充电器件模型 ) 符合 JESD22-C101 闩锁 (I 测试 ) 符合 JESD78 MB85R4M2TFN-G-ASE1 闩锁 (V 电源过电压测试 ) 符合 JESD78 闩锁 ( 电流方法 ) 专利方法 闩锁 (C-V 方法 ) 专利方法 200 V 闩锁电阻测试的电流方法 注意 : 电压 VIN 逐渐增加且电流 IIN 应达到最大值 300 ma 确认在 IIN = ± 300 ma 情况下未发生闩锁 在为输入 / 输出指定特定需求且 IIN 无法达到 300 ma 的情况下, 电压应增加到满足特定需求的水平 DS v0-Z 15

16 闩锁电阻测试的 C-V 方法 注意 : 以大约 2 秒间隔交替切换充电电压 1 和 2 此切换过程视为一个周期 重复此过程 5 次 但是, 如果闩锁条件在完成 5 次过程之前发生, 则必须立即停止该测试 回流焊条件以及保管期限 JEDEC 条件, Moisture Sensitivity Level 3 (IPC / JEDEC J-STD-020D) 针对含有限制级化学物质的处理 本产品符合 REACH, EU RoHS 以及中国 RoHS 指令 16 DS v0-Z

17 订购信息 零件编号封装包装规格最小起订量 44 引脚塑料 TSOP MB85R4M2TFN-G-ASE1 (FPT-44P-M34) * : 烦请向我公司销售部门询问最小起订数量 托盘 * DS v0-Z 17

18 封装尺寸 44-pin plastic TSOP Lead pitch Package width package length Lead shape 0.8mm mm Gullwing Sealing method Plastic mold Mounting height 1.2mm Weight 0.46 g (FPT-44P-M34) 44-pin plastic TSOP (FPT-44P-M34) Note 1) # : Resin protrusion.(each side:+0.15 Max). Note 2) * : These dimensions do not include resin protrusion. Note3)Pins width and pins thickness include plating thickness. Note4)Pins width do not include tie bar cutting remainder. [44] [23] 10.16±0.10 * 10.16±0.10 (10.76) 11.76±0.20 (0.50) INDEX LEAD No. [1] [22] (0.50) # 18.41±0.10 (0.29) 1.20 MAX 0.45~ MAX (0.805) 0.80TYP MIN 0~8 0.25TYP 2013 FUJITSU SEMICONDUCTOR LIMITED F44025S-c-2-3 Dimensions in mm. Note : The values in parentheses are reference values. 18 DS v0-Z

19 标记 [MB85R4M2TFN-G-ASE1] [FPT-44P-M34] DS v0-Z 19

20 本次版本的主要修改内容对于本文中修改之处, 在页数左侧标注 记号, 以示提醒 页数修改处修改内容 1 特点增加了 85 C 以下的数据保持特性 14 FRAM 特性增加了 85 C 以下的数据保持特性 20 DS v0-Z

21 DS v0-Z 21

22 22 DS v0-Z

23 DS v0-Z 23

24 FUJITSU SEMICONDUCTOR LIMITED Shin-Yokohama Chuo Building, Shin-Yokohama, Kohoku-ku, Yokohama, Kanagawa , Japan 版权所有 本公司及其子公司与关系企业 ( 下称富士通半导体 ) 保有修改本手册记载内容的权利, 恕不另行通知 请贵用户于订购产品前咨询富士通半导体的销售代表 本手册记载的信息, 诸如功能概要和应用电路示例, 仅仅提供给贵用户作为对于富士通半导体器件的使用方法和操作示例的参考之用 ; 富士通半导体对于本手册所记载的各种信息, 包括但不限于产品品质 正确性 功能表现 操作的适当性或产品是否侵权等, 皆不提供任何明示或暗示的保证, 亦不负责任何损害赔偿的责任 若贵用户基于本手册记载的信息, 将富士通半导体器件导入或安装于贵用户自行开发的产品或装置内, 贵用户应承担所有风险, 并就此使用所衍生的一切损害自行负责 富士通半导体对本手册所载信息 亦或贵客户使用本手册所导致的任何损害概不负责 本手册内的任何信息, 不应视为授与或转让富士通半导体所拥有或自第三方授权而来的专利权 着作权或其他类型之知识产权, 贵用户对上述权利不享有任何产权和利益 就本手册所载信息 或就贵用户因使用该信息而产生或衍生侵害第三方的知识产权或其他权利的损害赔偿或责任, 富士通半导体概不负责 本手册介绍的产品旨在为一般用途而设计 开发和制造, 包括一般的工业使用 通常办公使用 个人使用和家庭使用 ; 而非用于以下领域的设计 开发和制造 : (1) 伴随着致命风险或危险的使用, 若不加以极高程度的安全保障, 有可能直接造成死亡 人身伤害 严重物质损失或其他损失的使用 ( 包括但不限于核能设备 航空飞行控制 空中交通控制 公共交通控制 医用维系生命系统 或军事用途的使用 ), 以及 (2) 需要极高可靠性的应用领域 ( 包括但不限于海底中转器和人造卫星 ) 就贵用户或任何第三方使用产品于上述限制领域内而引起的或衍生的任何损害赔偿或责任, 富士通半导体概不负责 任何半导体器件存在一定的故障可能性 贵用户应确保对产品 设备和设施采取诸如冗余设计 消防设计 过流防护, 其他异常操作防护措施等安全设计, 保证即使在半导体器件发生故障的情况下, 也不会造成人身伤害 或财产损失 本手册内记载的任何关于产品或技术之资讯, 应受日本外汇及外贸管理法或美国及其他国家的进出口管理法或管理条例之管制 贵用户应确保将本手册所载产品及技术资讯办理出口或再出口时, 应符合上述一切相关法令 本手册内记载的所有公司名称 品牌名称和商标名称是各个公司所有之产权 编辑 : 系统存储器事业部

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

DS v0-Z

DS v0-Z FUJITSU SEMICONDUCTOR DT SHEET DS501-00018-4v0-Z 铁电存储器 128 K (16 K 8) 位 I 2 C MB85RC128 产品描述 MB85RC128 是一种被称为 FRM ( 铁电随机存取存储器 ) 的存储芯片, 配置为 16,384 8 位, 通过铁电工艺和硅栅 CMOS 工艺技术形成非易失性存储单元 与 SRM 不同, MB85RC128

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

PM6003K_00_CHI_cover.indd

PM6003K_00_CHI_cover.indd Integrated Amplifi er PM6003 Marantz Marantz - - - - - - - - - OFF MAINS - - - - AMPRC_090130N1 ( ) ( ) ( ) /AC RCA (Pb) (Hg) (Cd) (Cr6+) (PBB) (PBDE) SJ/T11363-2006 SJ/ T11363-2006 ( :EU RoHS ) 2006/2/28

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

untitled

untitled OVEM zh 8068021 1702e [8068028] OVEM-DE : : : 1. / 2 Festo OVEM 1702e 1... 5 1.1... 5 1.2... 6 2... 7 2.1... 7 2.2... 7 2.3... 8 3... 9 3.1... 9 3.2... 10 3.3... 11 3.4... 13 3.5... 14 3.6... 14 3.7...

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

6 8 12 16 18 42 74 100 128 164 220 241 242 7 10 14 16 18 42 74 100 128 164 220 241 242 22 23 1873 1908~1909 1904 1900 1915 1905 1908 1904-1905 1907 1898-1899 1910 1915~1926 1923 / 1924 1949

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc ofired eramics Series UUNG-G HNOOGY OF RF SOUION Feature 特点 低温共烧陶瓷 ompact Size 体积小 Miniaturized SM packaged in low profile and lightweight. ow oss 低 ow insertion loss, high attenuation. High Soldering

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

校园之星

校园之星 V L V L L T O O gu G G an b y t A d g A B A B su U U U U U U U U N N N N N N S T L L B DD E & L L L & DG

More information

KZ-PA35C_說明書(0911更新)

KZ-PA35C_說明書(0911更新) 使用說明書 聲寶 35 l 雙溫控油切旋風烤箱 聲寶 35 l 雙溫控油切旋風烤箱 (家庭用) KZ-PA35C KZ-PA35C 安全注意事項 1 各部位名稱 5 使用說明 6 簡易食譜 10 若有維修發生時請主動提示本保證書以享受權益 清潔與保養 14 故障檢修與排除 16 烤盤 緊急處理方法 17 規格 18 聲寶產品保證書 19 19 為了防止給使用者和他人造成意外的危害和財產的損害 請務必遵守下述事項

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

建築物機水電工程監工實務

建築物機水電工程監工實務 目 錄...9-1...9-1.......9-1 3.1.9-1 3.2.. 9-3 3.3.9-7 3.4.9-16 3.5.9-16 3.6.9-17 3.7.9-18 3.8.9-18 3.9.9-19 3.10 9-20 3.11 9-21 3.12 9-22 3.13 9-23 3.14 9-28 3.15 9-31 3.16 9-33 3.17 9-36. 9-40. 9-43 5.1.9-43

More information

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5 D11.1 2006.01 sinamics G110 SINAMICS G110 1AC200V240V 0.12 kw3 kw MICROMASTER DA51-2 MICROMASTER 410/420/430/440 0.12KW250KW E20001-K4260-C100-V5-5D00 122-J903448-050320 CA01 SD CA01 SD CD M17 E20001-K5690-

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

E170C2.PDF

E170C2.PDF IQ E170C2 2002.3. Rotork Rotork * ( ) * * RotorkIQ - IQ * * PC IQ Insight / Rotork * - Rotork IQ www.rotork.com 5 10 5.1 11 1 2 5.2 11 2 3 5.3 11 3 IQ 3 5.4 11 3.1 3 5.5 IQM12 3.2 3 5.6 IQML12 3.3 4 5.7

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

AD Y

AD Y FUJITSU Semiconductor FRAM FUJITSU SEMICONDUCTOR LIMITED , FRAM 1969, 47, FRAM Ferroelectric Random Access Memory 1995FRAM, 18, 45, 200 , FRAMIC,, RFID,,, FRAM,,,,,, Ferroelectric Random Access Memory

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

1 2 3 4 5 6 7 1 , 2 3 1 2 20cm 40cm 2-3m 3 4 5 5cm 1% 8 9 1.05 1.25 16 22 1.0 2.5 8 4 90 95 25 8 10 1.2 1.45 19 28 3 5 8 4 90 95 15 8 10 1.10 1.20 18 24 1 2.5 4 95 30 8 12 1.2 1.4 22 30 3 5 4 95 20 8 12

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 ROHS 规范 HT647PL 封装 :H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备 各类核磁共振仪器 粒子加速器

More information

ICS 93. 080. 30 R 87 GA GA/T 508 2004 Road traffic counting down display unit 2004-08-09 2004-10-01 ... II 1... 3 2... 3 3... 3 4... 4 5... 5 6... 9 7... 13 8... 14 9... 14 10... 14 A... 15 I A II 1 2

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

! * # + + *! # $ # #. 1 #! % &)# * 1 *! * ! % # * # * # + + +!!!! # * % # # + # + * & $ *! * # + * # % #& % &* # & # * %! + * # #!

! * # + + *! # $ # #. 1 #! % &)# * 1 *! * ! % # * # * # + + +!!!! # * % # # + # + * & $ *! * # + * # % #& % &* # & # * %! + * # #! !!#$! # $ %! ##&&!)*+, -##. -&/01& -#!!!% $! $!#!!!$23.1! 4)5 %#&&& &.1 %!!!! %! &!!!!!!!! /!!!!!!!!!!!!!!! %.&!!! #&!!!!0&&&& #!!!!!!!!!!!!!!! %.& /&&&/.! 0.!!!!!!!!!!!!!!! %1& 6 /. 1!!!!!!!!!!!!!!! %&

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information