LSD-PRGS430-IIIA_V _硬件V2.0_使用说明书_CB改_.doc

Size: px
Start display at page:

Download "LSD-PRGS430-IIIA_V _硬件V2.0_使用说明书_CB改_.doc"

Transcription

1 利尔达科技有限公司 V1.0 用户说明书文件编号 : 项目名称 430 多功能离线编程器项目型号 LSD-PRGS430U-V1.0 编制人吴邦米编制时间 修改人郝强修改时间 第一部分 : 概述 LSD-PRGS430U 多功能离线编程器是一款用于 MSP430 FLASH 全系列单片机的编程器, 它可以完成对 MSP430 FLASH 全系列单片机的编程 烧熔丝 再次编程的功能 编程前, 将程序下载到编程器的 FLASH 型内存中, 然后再对目标芯片烧写, 故可离线工作 既适合少量写片用, 也适合批量生产 LSD-PRGS430U 多功能离线编程器集成了 JTAG BSL SBW 三种编程模式 JTAG 编程接口适合于目标芯片没有烧断熔丝的情况下, 对芯片进行程序写入和烧断熔丝操作 BSL 编程接口则不管目标芯片熔丝是否烧断, 均可对目标芯片进行编程 SBW 针对所有支持 SBW 的芯片进行编程 三者的切换非常方便, 用户只需选择所需的模式既可, 完成特定功能所需的操作完全相同 针对不同客户的需求, 我们将不断增加其特性, 最大限度满足客户的需求 第二部分 : 硬件安装说明 : 硬件连接如图 1, 可见 LSD - PRGS430U 多功能离线编程器与外部留有四个接口, 与 LSD-PRGS430-IIIA 编程器兼容 MSP430Fxxx JTAG 连线 JTAG 接口 电源模式状态 430 多功能离线编程器 LSD-PRGS430U RS232 接口 计算机 MSP430Fxxx BSL 连线 利尔达科技有限公司 BSL 接口 LSD SCIENCE & TECHNOLOGY CO.,LTD. 启动 DC9V 200mA DC9V 电源 MSP430 适配器或用户目标板 图 1 ( 一 ) 电源接口 电源为 9VDC/200mA 的直流电源, 电源插头内正外负, 然后按照图 1 所示连接将电源接 入插口即可 ( 二 ) RS232 计算机接口 LSD-PRGS430-IIIA 多功能离线编程器留有 RS232 计算机串行口, 用户可以通过 RS232 口, 对编程器进行功能设置 升级以及对自己目标代码的更改, 编程器会根据用户对其的操作信息来进行离线操作 详细的内容可参考第三节, 后续利尔达科技有限公司将推出具有 USB 接口的多功能编程器 LSD-PRGS430U, 两者在软件上完全兼容, 敬请关注 ( 三 ) JTAG 目标板接口

2 LSD-PRGS430U 多功能离线编程器通过 JTAG 接口, 方便地实现程序的写入与加密 ( 烧熔丝 ) 功能 JTAG 接口定义如下 : 引脚 TX RX 定义 TDO VCC TDI VCC_IN TMS NC TCK TST GND NC RST NC D* D* * 该脚与 BSL 接口引脚兼容 ( 四 )BSL 目标板接口 LSD-PRGS430U 多功能离线编程器通过 BSL 接口, 方便将程序写入, 也可以将已烧断熔丝的 MSP430 系列单片机进行重新写入程序 BSL 接口定义如下 : 引脚 定义 TXD TCK RXD RST GND VCC TEST VCC_IN NC NC 注 : 并不是所有的芯片都支持 BSL 模式, 所以在使用时请仔细核对自己的目标芯片 ( 五 )SBW 目标板接口 SBW 接口定义如下 : 引 脚定 SBWTDI0 VCC NC NC NC NC NC SBWTCK GND NC NC NC NC NC 义对于不同的型号芯片,BSL 发送和接收引脚不同, 请看相关器件数据手册 BSL 部分的说明 如 :F41X 系列 P1.0 接 BTXD,P1.1 接 BRXD; 而 F15X,F16X 系列 P1.1 接 BTXD,P2.2 接 BRXD 对于未使用的 JTAG BSL 引脚, 应为悬空处理, 外部不得接入电源, 避免损坏编程器 对于 RST 复位引脚, 应确保在目标板上没有看门狗等复位芯片, 否则将造成芯片复位的不正常, 引起烧写失败 特别注意 : LSD-PRGS430U 多功能离线编程器 JTAG 口的 2 脚, 输出的电压为一可调电压 DC(1.8V-3.6V), 用户的目标板或适配器一般推荐不外加电源, 以免损坏编程器 若使用外加电源, 则需要将编程器的 VCC 输出关闭 具体设置可参考第三部分 第三部分 : 指示灯与按键说明 : LSD-PRGS430U 多功能离线编程器有 3 个指示灯和一个按键 : 分别为电源指示灯 功能指示灯 状态指示灯与开始按键 电源指示 : 编程器正常加电工作, 该指示灯应该亮 ; 功能指示灯 : 该指示灯显示当前编程器的功能模式 显示红色, 则代表 BSL 功能 (BSL 不能熔断熔丝, 但可以在熔丝熔断的情况下进行再编程 ) 显示绿色, 则代表 JTAG 或者 SBW 编程功能 (JTAG 和 SBW 编程包括烧写程序代码及烧断熔丝功能 当熔丝断后, 则不可再通过 JTAG 方式改写代码, 只能通过 BSL 方式编程 ) 状态指示灯 : 指示编程进度和执行是否正确, 编程过程中闪烁 若为绿色闪烁, 则代表当前编程操作正在进行中 若为红色闪烁, 则代表当前烧熔丝操作正在进行中 若先为绿色闪烁, 接着为红色闪烁则代表先编程后烧熔丝 整个操作序列结束后如果所有操作均正确, 该灯保持绿常亮, 如果有一个操作步骤有错误, 该灯保持红色常亮, 并终止整个操作序列 注意 : 升级软件以及装载程序过程中, 功能指示灯闪烁代表的才是进度 装载程序过程中, 如果自校验

3 通过状态指示灯为绿色, 自校验不通过状态指示灯是红色 第四部分 : 软件安装 : ( 一 ) 软件的安装运行 LSD-PRGS430U-V1.0.exe 安装程序, 按常规软件安装到指定的目录或默认目录下即可 软件安装完成后, 会在桌面上及开始菜单上出现 LSD-PRGS430U 多功能离线编程器软件的快捷方式, 点击桌面的 LSD-PRGS430U.exe 可以运行此程序, 也可以通过 开始 > 程序 > LSD-PRGS430U 多功能离线编程器 -> LSD-PRGS430U.exe 来启动程序的运行 ( 二 ) 软件的功能及操作说明软件主操作界面运行编程器软件就进入 LSD-PRGS430U 多功能离线编程器 1.0 版软件的操作界面, 如下图 : 图 2 从图 2 中我们可以看出, 整个操作软件可以分为八大块 : 版本显示, 信息显示, 映像文件, 参数设置, 辅助运行, 烧写序列号, 模式选择, 主运行 1 版本显示 : 操作软件的最上方的蓝色栏里显示的是 LSD-PRGS430U 多功能离线编程器软件的版本号, 不同版本号的具体功能有些区别, 我们将不断升级以支持不同的芯片 2 信息显示: 显示人机交互信息 方便用户对编程器的操作, 了解编程器的运行状态 信息显示只在编程器与 PC 连接的情况下显示的信息才真实有效 3 映像文件: 映像文件是指编译后的烧写代码文件, 目前支持 TI 的 TXT 或 A43 文件格式 映像文件包括 3 个选项 : a 路径映射: 为用户烧写代码的路径, 即图中的白色条框 b ( 浏览文件夹 ): 为用户烧写代码路径的选择

4 c 装载: 将烧写代码下载到编程器中, 为离线编程做准备 d 编程次数: 可以设置编程的次数, -1 为不限制次数, 正数为所设置的编程次数, 最大为 60000, 到达所设定的编程次数以后就不能够再烧写代码 读回设置可以读出还剩下多少编程次数 关于映像文件的具体操作, 可参考第五部分的操作文档 4 参数设置参数设置共分七个参数选择 设置及显示项 : a 通讯端口 : 选择编程器与 PC 通讯的串口, 编程器自动选择 b 波特率 : 打开界面默认的是 编程器与 PC 通讯的波特率, 从 9600 到 不等, 随波特率的提高把代码下载到 PRGS430-U 上的速度也随着加快, 提高波特率也可以提高读程序操作时的速度 每成功更换一次波特率, 蜂鸣器都会鸣叫一声, 信息栏均会有提示信息 C 供电电压: 选择编程器输出电压, 范围为 : 无电压输出 1.8V~3.6V 可选 ( 每档间变化为 0.1V) 在烧写代码时, 编程电压最好选 2.7V 以上, 否则有可能下载代码失败 注意事项 : 编程时 MSP430 单片机可能遇到以下几种情况供电 : 1 单片机通过用户目标板由外部电源供电时, 为了防止编程器的损坏, 请将外部电源接到 JTAG 的 4 脚, 如果接到 JTAG 的 2 脚, 请将界面上的 向外部目标板供电 项取消打勾 2 单片机通过编程器供电时, 可将供电电压设置为 1.8V 3.6V 为保证在编程过程系统的可靠性, 建议将供电电压设置在 2.7V-3.6V 之间 d 建立时间: 编程器与用户单片机系统的同步时间 是编程器给目标板到进行编程操作的时间, 目的是等待目标板达到正常稳定的工作电压 建立时间选项主要是根据用户的系统中, 可能存在着大的储能装置 ( 如大电容 ), 会对单片机的编程电压 VCC 造成影响 当储能装置在充电过程中,VCC 低于额定的编程电压 2.7V, 导致编程器与单片机同步失败, 编程失败 用户可根据自己单片机系统中的储能效应来决定建立时间的长短 e 型号选择: 用户所使用的单片机的型号 目前该编程器支持 MSP430FLASH 系列单片机的现有全部型号 JTAG 模式下, 需要正确选择芯片的正确型号, 否则可能无法正常工作 SBW 模式下, 只要该芯片支持 SBW 即可使用 SBW 方式烧写,F20xx 请慎重考虑烧熔丝功能, 该系列芯片不支持烧熔丝后的再烧写功能 BSL 模式下, 需要正确选择芯片的正确型号, 否则 BSL 无法正常工作 ( 注意当选择 BSL 模式时, 必须提供正确的密码文件, 否则将有可能产生 MassErase, 擦除芯片内部的数据, 尤其特别注意 F2XX 系列的 DCO 调整配置字节, 该擦除是由 BSL 的版本号决定 ) 注意 : 新编程软件的设置比原来严格的多, 在烧写程序的时候必须确保芯片选型 编程方式 (JTAG\SBW\BSL) 供电方式( 内部供电 \ 外部供电 ) 的正确设置, 硬件连线和编程方式要匹配一致 如果错误会造成识别芯片和连接操作失败 F 高速 BSL 模式 : 在 BSL 模式下编程, 如果想提高下载速度, 请对此项打勾 F5XX 的高速 BSL 为 非 F5 的为 38400, 不选择高速 BSL 时, 所有系列默认为 9600 有些芯片内部集成的 BSL 程序版本比较低, 本身就不支持高速模式, 特请留意 g 向外部目标板供电: 编程时, 如果是由内部电源供电的, 请将此项打勾 如果选择由编程器供电, 还可以选择编程完后是否运行程序, 勾选上电 / 运行则编程器会在编程完毕后继续供电, 操作者可以查看程序运行现象 不勾选上电 / 运行则编程器会在编程完毕后自

5 动断电, 离线大批量烧写代码的时候由于频繁插拔接口, 如果带电操作会对芯片和编程工具有损坏, 故一般不勾选上电 / 运行, 编程器在烧写完代码后自动断电 H 擦除主 FLASH: 只擦除 Main FLASH I 擦除不受保护的信息 FLASH: 只擦 InfoB\InfoC\Inf0D; 若信息段只有 2 段的芯片, 则擦除的是 InfoB J 擦除保护信息 FLASH: 只擦除 InfoA F2xx 系列请慎用, 因为该系列 InfoA 段存放着 DCO 的调整配置值 K. 非 F5 系列的 BSL 不支持烧写信息 A 段, 因为非 F5 的 BSL 指令集中没有 UnLOCKA 指令 很多用户会把重要的数据或信息存放到信息段, 在非 F5 系列的 BSL 中,PRGS 编程器会自动把信息段数据读出并逐一核对比较, 保证信息段数据的绝对可靠 用户还可以使用读出程序查看实际烧录的内容是否正确 5 辅助运行: 辅助运行有 6 个功能按钮依次为删除映像文件, 软件升级, 关于, 退出 读出程序 English UI a 删除映像文件: 删除下载到编程器中的烧写代码 映象文件删除后编程器内的 FLASH 型存储芯片中没有代码程序, 此时按启动键进行烧写代码将会报错, 如果想烧写代码, 请重新装载一次代码文件 b 软件升级: 升级编程器的功能, 具体可参照第五部分 c 关于: 编程器软件的基本信息包括版本号及制作人等 d 退出: 退出编程器操作软件 e 读出程序: 能读出芯片内的代码程序, 如果是已烧断熔丝的芯片需要使用 BSL 模式以及密码文件 支持一次性最多读 个字节的数据 f English UI: 中英文界面互换按钮 6 烧写序列号: 有些产品在程序空间的某个固定位置放置固定的产品代码信息, 可以利用这个功能进行烧写序列号, 此功能在线离线均有效, 但受制于老版本多功能编程器中 FLASH 型存储芯片的寿命, 故不推荐老版本的编程器使用该功能, 我们会再后续的新产品中引入新的存储介质来改善存储器寿命问题 具体功能如下 : a 序列号分固定值和变化值两部分, 固定值可以是字符或数字, 每次烧写时该部分为固定不变的数据, 程序会把固定值的 ASCII 码下载到芯片中 变化值部分必须是数字, 每次烧写成功后, 可以根据 变化规律 的选择进行加变化或者不变化 我们一共给序列号预留了 16 个字节的空间, 变化值部分为 4 个字节, 最大为 0xFFFFFFFF 序列号固定值部分总是以字符的 ASCII 码形式驻留在芯片内, 也是 4 个字节 剩余未用的 8 个字节均补 0, 保留给特殊用户使用 变化值 (4 个字节 ) 固定值 (4 个字节 ) 预留的 8 个字节空间 ( 补 0) 在烧写序列号的时候我们默认了是需要校验的, 保证了序列号烧写的正确性 用户可以使用读出程序功能再次确认 b FLASH 地址由于此编程器以字为单位烧写系列号, 系列号 FLASH 存放地址应该取偶数, 奇数地址将报错 系列号的地址不能与程序地址重叠, 否则将报 FLASH 写入错误 序列号的合法烧写地址为对应芯片 FLASH 内的有效偶地址, 本编程器支持将序列号烧写到 64K 之外的地址, 不过上位机一般默认的是 InfoD(4 个信息段 ) 或者 InfoB(2 个信息段 ) 的起始地址 c 数据类型

6 系列号的数据类型指的是序列号变化值部分可以是十进制或十六进制, 这只是方便不同用户的视觉习惯, 实际烧录到芯片内的数据均为十六进制 d 变化规律这里可以选择在成功烧写了系列号后, 下一个系列号的变化规律 在加前面的复选框内打勾表示每烧录完成一次序列号, 序列号的变化值部分将递增 1 若不勾选则序列号变化值部分不改变 e 数据存放顺序由于序列号变化值占用 4 个字节, 我们以单片机存储数据的默认方式, 把数据的低位放在低字节, 高位放在高字节 7 模式选择: 选择用户需要的模式进行操作, 选择 JTAG 模式, 则编程器运行在 JTAG 模式, 选择 BSL 模式, 则运行在 BSL 模式下 对于 F20x 系列的芯片, 则一定要选择 SBW 模式 如果选择的芯片不支持某种烧写方式, 上位机界面会自动把该选项屏蔽 8 主运行: 主运行框包括运行 擦除 擦除校对 编程 编程校验 序列号 烧熔丝七个功能序列 a 运行: 运行按钮相当于硬件上的启动按键, 主要在在线编程时使用 按下运行, 上位机会按所选功能序列对单片机进行操作, 并在信息栏中显示操作信息 b 擦除: 在对单片机写入烧写代码前对单片机的 FLASH 进行擦除, 离线 在线模式下都有效 c 擦除核对: 在对单片机写入烧写代码前对单片机 FLASH 的擦除情况进行核对, 即检查是否所有 FLASH 都被擦除成功, 离线 在线模式下都有效 d 编程: 将烧写代码写入单片机, 离线 在线模式下都有效 e 编程校验: 对所写入单片机的代码与源代码进行验证, 以防写入过程中发生错误, 离线在线模式下都有效 BSL 模式下由于芯片内部的 BSL 代码已经有编程校验功能, 故而意义不大, 但推荐选择 f 序列号: 在设定的 FLASH 中写入产品序列号 序列号的设置可参考烧写序列号一节 g 烧熔丝: 烧断单片机熔丝, 起加密作用 JTAG 模式 SBW 模式下, 离线 在线模式下都有效 (BSL 模式下无效 ) 注意 :F20xx 系列没有 BSL 烧录功能, 不推荐使用烧熔丝功能 第五部分 :LSD-PRGS430U 多功能离线编程器的操作 : 前四部分针对 LSD-PRGS430U 多功能离线编程器的操作软件与硬件进行描述, 第五部分主要根据烧写代码的流程, 来叙述编程器进行芯片的编程时操作流程及注意事项 1 连接好硬件: 将编程器的串口连接到电脑的串口, 用连线将编程器和目标板相连, 连接上 DC9V/200mA 电源 此时, 编程器的功能指示灯和状态指示灯会以红色闪烁 3 次, 最后, 电源指示灯变绿, 模式指示灯和状态指示灯灭 连接编程器和目标板的连线, 使用 JTAG 和 SBW 功能时, 用 14 芯连线将目标板与编程器的 JTAG 口相连 ; 使用 BSL 功能时, 用 10 芯连线将目标板与编程器的 BSL 口相连 2 运行计算机软件: 在弹出 LOGO 后, 显示编程窗口 如果串口连接正常, 编程器中的蜂鸣器会 哔 一声 信息栏显示 编程器内的编程软件版本号 如果不能显示这个信息, 请在编程窗口中重新连接的串口或检查硬件连接 编程器是否加电源等 3 选择烧写代码:

7 点击 映像文件 右边的 ( 文件选择 ) 按钮进行选择, 这个文件应该是 TI 的 TXT 文件格式或 A43 文件格式 4 装载程序: 点击 映像文件 中的 装载 按钮, 将烧写代码下载到编程器中 功能指示灯会显示绿色, 并闪烁, 表示装载过程正在进行中 状态指示灯是熄灭的 如果装载完成, 则信息栏中会显示 程序装载完成 接下来编程器会自动对装载到其中的代码进行一次自校验, 自校验时间跟所装载的程序大小有关 如果自校验通过, 状态指示灯常亮绿色, 蜂鸣器 哔 一声, 可以进行下一步操作 ; 如果自校验不通过, 状态指示灯常亮红色, 蜂鸣器会急促的 哔 哔 两声, 程序就需要重新装载一次, 否则下载到目标芯片内的代码是错误的 注意 : 在编程器做自校验的这段时间内, 上位机的任何操作都是被忽略的 5 参数设置: 在参数设置栏中设置波特率, 供电电压, 建立时间, 型号选择等参数 注意 : 供电电压的选择与单片机的供电方式有关 a 如果单片机使用外部电源供电, 需去除 外部目标板供电 选项 b 如果使用编程器输出的电源给目标供电, 请将 向外部目标板供电 选项打钩, 推荐输出电压选择在 2.7V 以上 在线模式下, 编程器内部的 AD 会把给目标芯片供电的电压 ( 无论是编程器还是外部电池供电 ) 采样并上传给上位机, 让用户了解当前实际电压值 c 建立时间的选择与用户单片机系统中是否存在大的储能装置有关 建立时间的大小与储能元件的大小有关, 储存的能量越大, 所需建立时间越长 6. 烧写序列号 : 用户根据需要进行此功能 如果需要烧序列号, 在 烧写序列号 中进行相关的配置 如果不需此功能, 则跳过此步骤 7. 主运行 : 首先在 主运行 栏目中对编程器所要执行的功能进行初始化 如效验, 擦除, 烧断熔丝等 在线方式如果需在线运行编程器, 则点击运行按钮 在编程器软件的 信息显示 中会提示相应操作结果 如果操作错误, 出现错误的操作步骤也会显示给用户, 方便用户查找原因 离线方式如果需要离线运行编程器, 则可以断开串口连接 按编程器上面的 启动 按键 编程器就会按照用户设定好的功能对单片机进行操作 注意 : 离线编程之前必须至少需要在线成功编程一次, 以确保编程器内的代码的正确性 编程中指示灯显示如下 : 电源灯 : 常亮绿色 模式灯 : 如果为 JTAG 或者 SBW 功能, 则为绿色 如果为 BSL 功能, 则为红色 状态灯 : 在编程中状态灯会一直闪烁 绿色代表编程 红色代表烧断熔丝 编程器按照用户的设置执行完一次操作后, 蜂鸣器会发出 哔 的长叫, 然后状态指示灯会绿色常亮, 此为一次编程操作完成, 如果要烧写下一片单片机, 则继续按下启动按键 离线操作有记忆功能 用户在对编程器进行一次初始化后, 如果没有更改的要求, 可以一直使用 ( 掉电不受影响 ), 适合大批量生产 使用注意事项 * RS232 串口与计算机连线连接好后, 再接通编程器 9VDC 的工作电源 * 有时计算机未能正确连接, 在线路连接好后, 重新通过菜单点击相应的通讯口, 进行重新连接即可 * 如果下载程序出现错误, 编程器无法与 PC 联机, 可以拿掉目标板, 按 启动 按键, 启动一

8 次空烧写, 再连接目标板即可 * 如果你是用 IAR 软件的 Release 生成的代码, 这 32 字节的中断向量放到程序的最后, 然后以 q 结束代码, 建议使用 Debug 生成的代码 如果不做这样处理, 可能在代码下载时无法正常下载代码 第六部分 :LSD-PRGS430U 多功能离线编程器的升级 : LSD-PRGS430U 多功能离线编程器具有升级功能 当我公司根据用户的需求, 会不断地推出新的升级功能 用户可以从我们的网站上 下载新的编程器升级软件, 进行在线升级 编程器的升级操作如下 : 1 安装最新的编程器软件 2 接上电源 通过串口线把编程器和 PC 相连 3 打开刚安装的编程器软件, 如果 信息显示 框里显示正确连接, 则进行第四步 否则, 检查硬件连接并点击相应得通讯口重新连接, 直到连接正确 4 点击 辅助运行 的软件升级按钮, 信息显示 中会出现 请按启动键 的提示, 按下 启动键 如果出现等待超时提示, 则重新点击软件升级按钮 按下启动按钮后, 信息显示 中会出现如下信息 蓝色进度条代表升级的进度 5 如果升级成功, 信息显示 中会显示 升级成功 如果失败, 则重复步骤 4 注意 : 升级时只能使用波特率 9600, 请在升级前确认通信速率是否正确

9 第七部分 : 帮助 : LSD-PRGS430U 多功能离线编程器软件处于活动界面时, 按 F1, 即可跳出帮助界面 帮助界面的内容为 LSD-PRGS430U 多功能离线编程器的操作文档 第八部分 : 支持芯片 目前支持大部分的 FLASH 型器件, 我们将不断更新以支持更新的器件, 请留意我们的网站 第八部分 : 常见错误 : 用户的如下操作可能导致操作失败 : 1 当 LSD-PRGS430U 中无映像文件时, 无论处于何种模式下, 只要选择编程均会报错 2 选择 BSL 模式时, 若芯片型号选择错误, 则报错 3 供电电压( 推荐 2.7V 以上 ) 建立时间等选择不对 4 特别注意 JTAG 的正确供电方式 : 编程器供电, 目标版的电源应该接到 JTAG 的 2 脚, 目标板供电, 应该接到 JTAG 的 4 脚 如果编程器 (JTAG 编程方式 ) 供电时, 目标板的电源端错误地接到 JTAG 的 4 脚, 可能会有微弱的电提供给目标板, 但不能维持正常的编程 5 在高速 BSL 模式下编程, 请确保 RxD 和 TxD 两个功能脚上没有任何的电容存在, 否则会下载不成功 6 无论那种编程模式, 请注意在目标芯片的 RST 引脚不能有太大的容性负载及任何的看门狗芯片, 否则将有可能无法连接 7 在使用的过程中, 有任何问题, 请与我们当地的办事处联系, 或发送邮件至 :haoqiang@lierda.com 备注 : 项目组长 : 郝强时间 :2009 年 10 月共 11 页第 11 页

MSP430FPA使用说明.doc

MSP430FPA使用说明.doc USB-MSP430-FPA 仿真器 / 编程器使用说明 (Ver1.0 Release 2011.08.25) 一 功能 USB-MSP430-FPA 是由加拿大的 Elprotronic 公司推出的专门针对 MSP430 单片机的编程 / 调试工具,USB-MSP430-FPA 在一个端口上同时具有 JTAG/SBW/BSL 接口功能 USB-MSP430-FPA 是目前市场上编程速度最快的 MSP430

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

PROG430编程器_USB型_说明书V3.doc

PROG430编程器_USB型_说明书V3.doc PROG430 专业 MSP430 单片机编程器 (USB 型 ) 使用说明书 (V3 版 ) 2010-5-25 修 ( 与时俱进 ) 1 一 简介 固件无限升级的编程器 : 随着 TI 公司 MSP430 系列单片机新型号的不断推出, 我们对编程器的功能也随之更新到位, 凡是够买过本产品的老顾客可以使用本产品最新软件只需点击升级固件, 就可以获得最新的功能了, 正所谓一机在手后顾无忧 原串口型编程器,

More information

PROG430编程器_USB型_说明书V3.doc

PROG430编程器_USB型_说明书V3.doc PROG430 专业 MSP430 单片机编程器 (USB-V3 型 ) 使用说明书 (V3 版 ) 2012-8-21 修 ( 与时俱进 ) 1 一 简介 固件无限升级的编程器 : 随着 TI 公司 MSP430 系列单片机新型号的不断推出, 我们对编程器的功能也随之更新到位, 凡是够买过本产品的老顾客可以使用本产品最新软件只需点击升级固件, 就可以获得最新的功能了, 正所谓一机在手后顾无忧 原串口型编程器,

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - MSP430BSL-PRO 使用说明.doc

Microsoft Word - MSP430BSL-PRO 使用说明.doc REV 1.0 首先感谢您购买了本公司的 MSP430 开发工具 我们将为您提供最完善的售后服务和最全面的技术支持 下图是 MSP430BSL-PRO 的产品清单 1 MSP430BSL-PRO*1 2 DB9 针对孔串口连线 *1 3 10 芯扁平连线 *1 4 14 芯扁平连线 *1 5 附有 BSL-PRO 软件的光盘 *1 6 DC9-12V AC-DC 适配器 *1 技术支持 Tel:+86-571-87176990

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

Microsoft Word - MSP430BSL 使用说明.doc

Microsoft Word - MSP430BSL 使用说明.doc REV 3.0 首先感谢您购买了本公司的 MSP430 开发工具 我们将为您提供最完善的售后服务和最全面的技术支持 下图是 MSP430BSL 的产品清单 1 MSP430BSL*1 2 DB9 针对孔串口连线 *1 3 10 芯扁平连线 *1 4 附有 BSL 软件的光盘 *1 技术支持 Tel:+86-571-87176990 www.zoglab.com 1--26 一 BSL 的介绍 什么是

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

中颖工具选型参考及常见问题汇总

中颖工具选型参考及常见问题汇总 中颖工具选型参考及常见问题汇总 1. 工具选型参考... 1 1.1 开发工具...1 1.2 量产工具...3 2. 常见问题汇总... 5 2.1 JET51A(Keil/ProWriter) 常见问题及解决方法...5 2.2 Pro06A(ProWriter) 常见问题及解决方法... 9 2.3 Pro03A(ProWriter) 常见问题及解决方法... 11 3. 更改记录... 12

More information

MEGAWIN

MEGAWIN Megawin 8051 ISP via COM Port 用户手册 By Vincent Y. C. Yu July(avenbbs) 译 This document information is the intellectual property of Megawin Technology Co., Ltd. 1 目 录 1 什么是 ISP...3 2 用于 ISP 的芯片配置...4 2.1

More information

目 录 1. 简介 概览 连接概述 软件运行概述 快速操作 硬件连接 软件操作 操作描述 MCU 设置 MCU Flash 信息

目 录 1. 简介 概览 连接概述 软件运行概述 快速操作 硬件连接 软件操作 操作描述 MCU 设置 MCU Flash 信息 Cortex-M 在线编程器用户手册 适用产品 本产品支持芯片型号如下 系列型号系列型号 HC32L150KATA HC32L150K8TA HC32M140F8TA HC32L150JATA HC32M140J8TA HC32M140 HC32L150J8TA HC32M140J8UA HC32L150FAUA HC32M140KATA HC32L150F8UA HC32L156KATA HC32L15

More information

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机,

嵌入式系统原理及应用教程 ( 第 2 版 )/ 清华大学出版社 EL-ARM-860 V1.2 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, 一 实验目的 实验二 Boot Loader 引导程序 1. 了解 Boot Loader 的作用, 掌握 Boot Loader 的编程思想 二 实验设备 1. Pentium II 以上的 PC 机, LINUX 操作系统 三 实验内容 1. 学习 x-loader 作用和编译过程 2. 学习 uboot 作用和编译过程 3. 学习 Boot Loader 的操作 四 Bootloader 程序说明

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 一. AVR Programmer Software V1.26 2007.8 说明手册 AVR Programmer Software V1.26 2007.8 是 315avr 网站自己开发的一款 AVR 编程软件, 支持本站开 发的可脱机系列产品 :( 可脱机 USB AVRISP 下载器, USB AVR Pro/ISP( 可脱机高压编程器 +ISP 下载器 ) 等 ) 1. 软件安装说明

More information

目 录 1. 简介 概览 外观及接口 离线编程器功能 编程模式 按键 LED 指示灯 XTAL 支持 供电 计数.

目 录 1. 简介 概览 外观及接口 离线编程器功能 编程模式 按键 LED 指示灯 XTAL 支持 供电 计数. Cortex-M 离线编程器用户手册 适用产品 本编程器支持芯片型号如下 系列型号系列型号 HC32L150KATA HC32L150K8TA HC32M140F8TA HC32L150JATA HC32M140J8TA HC32M140 HC32L150J8TA HC32M140J8UA HC32L150FAUA HC32M140KATA HC32L150F8UA HC32L156KATA HC32L15

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

Microsoft Word - MSP430-FPA使用指南.doc

Microsoft Word - MSP430-FPA使用指南.doc MSP430-FPA 使用手册 Team MCUzone http:// 版本 :Rev1.0 2006-10 - 1 - 版本更新说明 Rev 1.0 第一版文件创建 2006-10-21-2 - MSP430-FPA 使用手册 MSP430-FPA( 以下简称 FPA) 是由加拿大的 Elprotronic 公司推出的专门针对 MSP430 的编程 / 调试工具, 具体特性如下 : 通过 JTAG

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

一次性可编程(One Time Programmable,OTP)产品的编程

一次性可编程(One Time Programmable,OTP)产品的编程 一次性可编程 (One Time Programmable,OTP) 产品的编程 在这里, 一次性可编程产品指的是内部的程序内存采用一次性可编程只读存储器 (One Time Programmable Read Only Memory,OTPROM, 简称 OTP) 的单片机 OTPROM 之资料写入原理同 EPROM, 可利用编程烧录工具的高电压将资料编程写入 OTP 产品为一次性可编程器件, 标准产品的程序内存及代码选项区为全空,

More information

ZB103 编程器户手册 SWXZ-HMCU-ZB103 ZB103 编程器用户手册 1 概述 本篇用户手册为实现 ZB103 编程器程序更新 目标板脱机下载 编程器作为一种量产型生产工具, 对研发工程师作用可能比较小, 但它对产线的影响却很大 如果因为编程器本身设计不完善, 导致编程器经常损坏,

ZB103 编程器户手册 SWXZ-HMCU-ZB103 ZB103 编程器用户手册 1 概述 本篇用户手册为实现 ZB103 编程器程序更新 目标板脱机下载 编程器作为一种量产型生产工具, 对研发工程师作用可能比较小, 但它对产线的影响却很大 如果因为编程器本身设计不完善, 导致编程器经常损坏, ZB103 编程器用户手册 1 概述 本篇用户手册为实现 ZB103 编程器程序更新 目标板脱机下载 编程器作为一种量产型生产工具, 对研发工程师作用可能比较小, 但它对产线的影响却很大 如果因为编程器本身设计不完善, 导致编程器经常损坏, 产线停滞, 造成的损失和影响都是难以估量的 因此选择一款好的编程器, 对研发和生产很重要 ZB103 支持裸片烧写, 即脱机下载 / 离线的烧录, 是把芯片放在夹具上进行烧录,

More information

目 录 1. 简介 概览 外观及接口 离线编程器功能 编程模式 按键 LED 灯指示 XTAL 支持 供电 计数.

目 录 1. 简介 概览 外观及接口 离线编程器功能 编程模式 按键 LED 灯指示 XTAL 支持 供电 计数. Cortex-M 离线编程器用户手册 适用产品 本编程器支持芯片型号如下 系列型号系列型号 HC32L150KATA HC32L150K8TA HC32M140F8TA HC32L150JATA HC32M140J8TA HC32M140 HC32L150J8TA HC32M140J8UA HC32L150FAUA HC32M140KATA HC32L150F8UA HC32L156KATA HC32L15

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

HC32L130K8TA HC32L130K6TA HC32L136K8TA HC32L130J8TA HC32L136 HC32L136K6TA HC32L136J8TA HC32L130 HC32L130J6TA HC32L130F8UA HC32L136J6TA HC32L130F6UA HC

HC32L130K8TA HC32L130K6TA HC32L136K8TA HC32L130J8TA HC32L136 HC32L136K6TA HC32L136J8TA HC32L130 HC32L130J6TA HC32L130F8UA HC32L136J6TA HC32L130F6UA HC Cortex-M 离线编程器用户手册 适用产品 本编程器支持芯片型号如下 系列型号系列型号 HC32M140F8TA HC32M140 HC32F146 HC32M140J8TA HC32M140J8UA HC32M140KATA HC32F146F8TA HC32F146J8TA HC32F146J8UA HC32L15 HC32L150KATA HC32L150JATA HC32L150FAUA

More information

Gowin FPGA离线烧录器

Gowin FPGA离线烧录器 Gowin FPGA 离线烧录器 用户指南 UG291-1.1,2019-07-15 版权所有 2019 广东高云半导体科技股份有限公司 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外,

More information

CC Debugger使用手册

CC Debugger使用手册 深圳市微雪电子有限公司 CC Debugger 使用手册 www.waveshare.net Xuwenjie 2012/12/8 目录 第一章 : 概述... 3 1.1 特性... 3 1.2 支持设备... 3 1.3 支持软件... 4 1.4 技术参数... 4 第二章 : 硬件描述... 5 2.1 1 USB 接口... 5 2.2 2 LED 指示灯... 5 2.3 3 RESET

More information

前 言 企业改制上市实务 修订说明 目录 - 1 -............. 企业改制上市实务 - 2 -................ 目录 - 3 -........... 企业改制上市实务 - 4 -......... 目录 - 5 -........... 企业改制上市实务 - 6 -............ 目录 - 7 -....... 企业改制上市实务 - 8 -.........

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8 Application Note AN05220038 V1.00 Date: 2010/05/14 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 修订历史 版本日期原因 V1.00 2010/05/14 创建文档 Date: 2010/05/14 2010 Guangzhou ZHIYUAN Electronics Stock Co., Ltd. Rev

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Application Note NXP UART 在线编程参考指南 AN V1.01 Date: 2014/08/07 产品应用笔记 广州致远电子股份有限公司

Application Note NXP UART 在线编程参考指南 AN V1.01 Date: 2014/08/07 产品应用笔记 广州致远电子股份有限公司 Application Note AN0522074 V1.01 Date: 2014/08/07 目录 1. LPC 系列单片机简介... 1 2. AK100Pro 简介... 2 3. 准备条件... 4 3.1 准备 LPC1227 Demo 板... 4 3.2 安装 KFlashPro 软件... 4 3.3 连接 LPC1227 至 AK100Pro... 5 4. 基本烧写... 7

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

USB Debug Adapter用户手册.pdf

USB Debug Adapter用户手册.pdf USB Debug Adapter For C8051F MCU 用 户 手 册 目 录 USB Debug Adapter 简介...1 开发工具特点...1 产品标配附件...1 仿真器接口关系图...2 USB Debug Adapter 安装说明...3 USB Debug Adapter 在 Silabs IDE 中的设置说明...5 USB Debug Adapter 在 Keil 3

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

WLINK-SWUT 安装说明书 WLINK-SWUT 安装说明书 REV. 1.1 April 27, 2012 本文件为伟诠电子股份有限公司机密数据, 未经许可不得擅自复印或备份

WLINK-SWUT 安装说明书 WLINK-SWUT 安装说明书 REV. 1.1 April 27, 2012 本文件为伟诠电子股份有限公司机密数据, 未经许可不得擅自复印或备份 WLINK-SWUT 安装说明书 REV. 1.1 April 27, 2012 版别 生效日期 申请者 说 明 1.0 2012/1/10 1.1 2012/04/27 Louis 1. 新增 VDD 电压选择脚座 2. 新增 UART 功能接口 3. 更新线路图 2 目录 第一章 WLINK-SWUT 安装说明...4 1.1 WLINK-SWUT ADAPTER 接口说明...4 1.2 WLINK

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi 纠正点从 2014 年 5 月 12 日的版本开始 我们缺少的 4TB 硬盘的型号 : WJ-ND400 / 和 WJ-HD616K / WJ-716K / WJ-ND400 WJ-HD616K WJ-HD716K 4TB 红 40PURX-64GVNY0 AF OK 4TB 红 40EFRX-68WT0N0 AF OK 纠正点 1 2014 年 87 月 259 日 K-HW508K / HW516K

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500017-Z-12 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 如何在目标板上编程 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0. 2009-2-10 Benjamin. Yang 初稿 1.1. 2009-2-11 Benjamin. Yang 修改 1.2 2010-1-11 Ivan. Xiao

More information

修订历史版本 日期 原因 V /10/28 创建文档 V /03/22 添加 ICP 下载说明 V /05/30 更新网站链接 2014 Guangzhou ZHIYUAN Electronics CO., LTD. i

修订历史版本 日期 原因 V /10/28 创建文档 V /03/22 添加 ICP 下载说明 V /05/30 更新网站链接 2014 Guangzhou ZHIYUAN Electronics CO., LTD. i Application Note SmartPRO 系列编写 PCF7952 详解 如何使用 SmartPRO 5000U-Plus 编程器烧写 PCF7952 V1.02 Date: 2014/05/30 文件信息 类别 内容 关键词 SmartPRO 5000U-Plus PCF7952 摘要 本文介绍如何使用 SmartPRO 5000U 来烧写 PCF7952 广州致远电子股份有限公司 修订历史版本

More information

Microsoft Word - JTAGICE mkⅡ 中文使用说明

Microsoft Word - JTAGICE mkⅡ 中文使用说明 JTAGICE mkⅡ 使用说明 一. 前言 1. 关键词说明 JTAGICEMKⅡ: JTAGICE mkⅡ 与 AVR Studio(AVR Studio 4.09 或更高版本才能使用 JTAGICE mkⅡ) 相结合, 通过 COM 或 USB 可以对所有带 JTAG 或 Debugwire 接口的 AVR 单片机进行在片调试 (On-Chip Debugging) 和编程 JTAGICE mkⅡ

More information

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书 V2.5 本文件版本 :V2.0 仿真器版本 :V2.5 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2 支持芯片...3 3 指示灯状态...4

More information

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5 注意请使用 5T 或 6T 的硬盘架 (WJ-ND400/ WJ-HDE400/WJ-HD716/WJ-HD616) 请不要在 5TB 或 6TB 硬盘底部安 2 颗螺丝 ( 下方标记 ), 因为螺丝要长于螺旋孔的深度 顶视图 底视图 1 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 500G Seagate Pipeline HD2 ST3500312CS

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页 AVRVI mkii PRO 多功能编程仿真器 本文件版本 :V1.0.3 仿真器版本 :V2.3 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2

More information

EC20系列PLC与WEINVIEW通讯手册

EC20系列PLC与WEINVIEW通讯手册 系列 PLC 与 PROFACE 通讯手册 感谢您购买的可编程控制器 (PLC), 在使用我公司 系列 PLC 产品之前, 请仔细阅读 的相关资料 本手册主要介绍 系列 PLC 与 PROFACE 人机界面 (HMI) 的通讯设置以及如何通过 PROFACE 人机界面访问 PLC 内部软元件资源 (X Y M SM S T C D SD Z) PROFACE 人机界面通过 EMERSON Network

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7.

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes 包管理理 工具 Helm 蔺礼强 Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 本说明书包含以下五部分 : 一. AVR Programmer 软件使用手册二. 可脱机 USB AVRISP 下载器使用说明书三. 可脱机高压编程器 USB AVR Pro/ISP 使用说明书四. USB AVRISP + JTAGICE 2 合 1 使用说明书五. 并口 AVRISP 下载线使用说明书 发及其配套产品的开发, 提供 AVR 单片机芯片相关产品的邮购服务 并提供给 AVR 爱好者

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确 SeTAQ R HMCA 系 列 高 速 多 通 道 数 字 称 重 接 线 盒 使 用 说 明 书 山 东 西 泰 克 仪 器 有 限 公 司 山 东 济 南 高 新 区 天 辰 大 街 1251 号 www.setaq.com setaq@setaq.com V1..2 SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得

More information

SL USB ISP的使用方法

SL USB ISP的使用方法 SL USBISP 的使用方法 一 概述 1 SL USBISP 是广州天河双龙电子有限公司最新推出的采用 USB 接口的下 载线, 其分为两个基本型号 :USB Isp 和 USB Copy 2 这两种型号的下载线的主要特点如下表所示: 型号 USB Isp USB Copy PC 接口 USB1.1 或 USB2.0 USB1.1 或 USB2.0 供电 USB USB 或外接 9V 电源 SPI

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple halcon 与 C# 混合编程之 Halcon 代码调用 写在前面 完成 halcon 与 C# 混合编程的环境配置后, 进行界面布局设计构思每一个按钮所需要实现 的功能, 将 Halcon 导出的代码复制至相应的 C# 模块下即可 halcon 源程序 : dev_open_window(0, 0, 512, 512, 'black', WindowHandle) read_image (Image,

More information

PADAUK FPPA TM PDK3S-P-001 一对一烧录器使用手册 Preliminary Version 0.10 Aug. 5 th, 2010 Cooppyyr ri iigghht t bbyy PPAADAAUKK TTeecchhnnool llooggyy C

PADAUK FPPA TM PDK3S-P-001 一对一烧录器使用手册 Preliminary Version 0.10 Aug. 5 th, 2010 Cooppyyr ri iigghht t bbyy PPAADAAUKK TTeecchhnnool llooggyy C PADAUK FPPA TM Preliminary Version 0.10 Aug. 5 th, 2010 Cooppyyr ri iigghht t 22001100 bbyy PPAADAAUKK TTeecchhnnool llooggyy Coo..,, LLt tdd..,, aal lll rir iigghht tss reesseer r rvveedd 应广科技股份有限公司 新竹市东大路二段一号十楼之二网址

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

Gowin FPGA 4路离线烧录器

Gowin FPGA 4路离线烧录器 Gowin FPGA 4 路离线烧录器 使用指南 UG301-1.0,2019-07-10 版权所有 2019 广东高云半导体科技股份有限公司 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外,

More information

<4D6963726F736F667420576F7264202D205BCAE9B0FCCDF85DC8FDC9FAC8FDCAC0CAAEC0EFCCD2BBA82E646F6378>

<4D6963726F736F667420576F7264202D205BCAE9B0FCCDF85DC8FDC9FAC8FDCAC0CAAEC0EFCCD2BBA82E646F6378> 本 文 由 派 派 txt 小 说 论 坛 提 供 下 载, 更 多 好 书 请 访 问 http://www.paipaitxt.com/ 三 生 三 世, 十 里 桃 花 作 者 : 唐 七 公 子 内 容 概 要 远 古 众 神 凋 零, 现 今 只 存 了 龙 族 凤 族 九 尾 白 狐 一 族 还 留 了 些 后 人 狐 帝 白 止 膝 下 得 了 四 个 儿 子 一 个 女 儿 这 唯

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 16 位微控制器 HC16L 系列 开发工具安装及使用 AN00001 AN00001 1 适用对象 系列 HC16L 系列 3801_EVAL_BOARD_V1.3 产品型号 AN00001 2 软件及驱动安装 目录 1 摘要... 4 2 软件及驱动安装... 5 2.1 安装 Keil C251... 5 2.1.1 安装之前的注意事项... 5 2.1.2 安装步骤... 5 2.1.3 替换寄存器文件...

More information

湖北福星科技股份有限公司二00四年

湖北福星科技股份有限公司二00四年 2 2 4 6 7 11 13 53 1 2005 HUBEI FUXING SCIENCE AND TECHNOLOGY Co.,LTD HFST 000926 1 1 431608 http://www.chinafxkj.com fxkj0926@chinafxkj.com 2 1 0712-8740018 0712-8740038-8028 0712-8740018 fxkj0926@chinafxkj.com

More information

JTAGICE mkⅡ使用说明

JTAGICE mkⅡ使用说明 下载仿真器用户手册 2008 年 10 月 21 日 前 言 双龙电子出品的 SL-USBISP II 下载仿真器, 是集 USB(FullSpeed) 通信 AVR/AVR32 微控制器的 JTAG 编程 AVR/AT89S 微控制器的 ISP 在系统编程 AVR32 微控制器的 JTAG 仿真功能于一体的开发工具, 有较高的性能价格比 在本手册中第一章介绍了 SL-USBISP II 下载仿真器的一些特点

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

计算机网络实验说明

计算机网络实验说明 计算机网络实验说明 龚旭东 电三楼 420 lzgxd@mailustceducn 2011 年 11 月 1 日 龚旭东 (TA) 计算机网络实验说明 2011 年 11 月 1 日 1 / 20 Outline 1 实验系统介绍 实验环境实验流程 2 实验内容编程实验交互实验观察实验 3 一些控制台命令 4 实验报告说明 龚旭东 (TA) 计算机网络实验说明 2011 年 11 月 1 日 2

More information

1

1 报考申请步骤 1. 登陆 www.cgfns.org 2. 选择 CGFNS services, 点击 ISPN -China 3. 出现如下图所示的页面, 点击开始报考 4. 出现如下图所以的页面, 点击 5. 出现如下图所示的页面, 点击 6. 输入用户名和密码 ( 参加预注册时用的用户名和密码 ) 如果没有参加预注册 的话点击 进行用户名注册, 用户名注册好后再登录 7. 上传照片, 点击 8.

More information

Microsoft Word - WF3200M Development Kit 用户指南 V doc

Microsoft Word - WF3200M Development Kit 用户指南 V doc WF3200M Development Kit 用户指南 V1.1 北京加安电子科技有限公司 该文档主要介绍了 CC3200 Development Kit 的硬件结构以及使用方法 通过该文档用户可以详细了解 DK 板的结构并完成 CC3200 开发环境的搭建 日期版本号说明 2014-11-26 V1.0 创建 2015-06-26 V1.1 更新图片 目录 1 概述...1 2 硬件描述...1

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana Acer E 15 Graphics Driver Download >>> DOWNLOAD 1 / 5 2 / 5 Get...all...the...data...for...your...required...driver...including...models,...availability...and...OS..... Drivers...for...direct...download...are...uploaded...daily...and...rated...by...our...usersWorld'

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information