高压精密差动放大器 AD8208 产品特性通过汽车应用认证内置 EMI 滤波器高共模电压范围工作温度范围 : 2 V 至 +45 V 耐压范围 : 24 V 至 +80 V 缓冲输出电压增益 :20 V/V 低通滤波器 ( 单极点或双极点 ) 宽工作温度范围 WB 级 : 40 C 至 +125 C

Size: px
Start display at page:

Download "高压精密差动放大器 AD8208 产品特性通过汽车应用认证内置 EMI 滤波器高共模电压范围工作温度范围 : 2 V 至 +45 V 耐压范围 : 24 V 至 +80 V 缓冲输出电压增益 :20 V/V 低通滤波器 ( 单极点或双极点 ) 宽工作温度范围 WB 级 : 40 C 至 +125 C"

Transcription

1 高压精密差动放大器 产品特性通过汽车应用认证内置 EMI 滤波器高共模电压范围工作温度范围 : 2 V 至 45 V 耐压范围 : 24 V 至 80 V 缓冲输出电压增益 :20 V/V 低通滤波器 ( 单极点或双极点 ) 宽工作温度范围 WB 级 : 40 C 至 25 C WH 级 : 40 C 至 50 C 出色的交流和直流性能失调电压 :± mv 增益漂移 : 5 ppm/ C( 典型值 ) CMRR:80 db( 最小值, 直流至 0 khz) EMI FILTER EMI FILTER 功能框图 EMI FILTER G = 0 图. G = 应用高端电流检测电机控制电磁阀控制电源管理低端电流检测诊断保护 概述 是一款单电源差动放大器, 非常适合在高共模电压 (CMV) 情况下放大和低通滤波小差分电压 采用 5 V 单电源供电时, 输入共模电压范围为 2 V 至 45 V 通过汽车应用认证 这款放大器提供增强的输入过压和 ESD 保护, 并内置 EMI 滤波功能 汽车应用要求使用鲁棒 精密的器件, 以便提供更好的系统控制 具有出色的交流和直流性能, 可将应用中的误差降至最低 SOIC 和 MSOP 封装的失调和增益漂移典型值分别小于 5 µv/ C 和 0 ppm/ C 在 DC 至 0 khz 范围内, 其共模抑制比 (CMRR) 最小值为 80 db 在前置放大器 () 的输出端提供一个外部可用的 00 kω 电阻, 可用来进行低通滤波以及实现 20 以外的增益 Rev. C Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 906, Norwood, MA , U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 绝对最大额定值... 5 ESD 警告... 5 引脚配置和功能描述... 6 典型性能参数... 7 工作原理... 应用信息... 2 带低端开关的高端电流检测... 2 高轨电流检测... 2 低端电流检测... 2 增益调整... 3 增益微调... 3 低通滤波... 4 带 LPF 和增益调整的高线电流检测... 5 外形尺寸... 6 订购指南... 7 汽车应用产品... 7 修订历史 203 年 2 月 修订版 B 至修订版 C 更改表... 3 更改表 更改 订购指南 部分 年 2 月 修订版 A 至修订版 B 更改 产品特性 部分... 更改表... 3 更改表 移动 订购指南... 6 更改 订购指南 部分... 6 增加汽车应用产品部分 年 5 月 - 修订版 0 至修订版 A 增加 8 引脚 MSOP 封装... 通篇更改产品特性和概述部分... 更新 外形尺寸 部分... 5 更改 订购指南 部分 年 月 修订版 0: 初始版 Rev. C Page 2 of 20

3 技术规格除非另有说明,WBR 和 WBRM 级的 T OPR = 40 C 至 25 C,WHR 级的 TOPR = 40 C 至 50 C,T A = 25 C, = 5 V,R L = 25 kω(rl 是输出负载电阻 ) 规格适用于 SOIC 和 MSOP 两种封装 表. 参数 测试条件 最小值 典型值 最大值 单位 系统增益初始 20 V/V 误差与温度的关系 V V (VS 0. V)dcTOPR ±0.3 % 增益漂移 TOPR 0 20 ppm/ C 失调电压初始失调电压 ( 折合到输入端 [RTI]) VCM = 0.5 V, TA ±2 mv 全温度范围内的输入失调电压 (RTI) VCM = 0 V, TOPR ±4 mv 失调电压与温度的关系 VCM = 0 V, TOPR µv/ C 输入输入阻抗差分 kω 共模 kω V CM ( 连续 ) 2 45 V 共模抑制比 (CMRR) 2 VCM = 2 V 至 45 V,DC db f = DC 至 0 khz 3,T OPR 80 db 前置放大器 () 增益 0 V/V 增益误差 0.05 V V (VS 0. V), dc, TOPR % 输出电压范围 WBR WBRM VS 0. V WHR WHRM 0.05 VS 0. V 输出电阻 kω 输出缓冲器 () 增益 2 V/V 增益误差 V V (VS 0. V), dc, TOPR % 4, 5 输出电压范围 R L = 25 kω, 差分输入 (V) = 0 V,T OPR 引脚 3( 输出 ) 驱动引脚 4( 输入 ) WBR WBRM VS 0. V WHR WHRM 0. VS 0.2 V 6 输出电压范围 引脚 4( 输入 ) 通过外部源驱动 WBR WBRM VS 0. V WHR WHRM 0. VS 0.2 V 输入偏置电流 TOPR 50 na 输出电阻 RL = kω, 频率 = DC 2 Ω 动态响应 系统带宽 VIN = 0.0 V p-p,v = 0.4 V p-p 70 khz 压摆率 VIN = 0.28 V,V = 4 V 阶跃 V/µs 噪声 0. Hz 至 0 Hz 20 µv p-p 频谱密度 khz (RTI) 500 nv/ Hz 电源 工作范围 V 静态电流 典型值,T A.6 ma 静态电流与温度的关系 V = 0. V dc,vs = 5 V, TOPR WBR WBRM 2.7 ma WHR WHRM 3.0 ma 电源抑制比 (PSRR) = 4.5 V 至 5.5 V,T OPR db Rev. C Page 3 of 20

4 参数 测试条件 最小值 典型值 最大值 单位 温度范围 额定性能,T OPR WBR WBRM C WHR WHRM C V CM = 输入共模电压 2 源不平衡 < 2 Ω 3 前置放大器在 0 khz 时的 CMRR 超过 80 db 但是, 由于输出只能通过 00 kω 电阻提供, 即使 IN 引脚与 和 引脚之间只有少量引脚间电容, 其耦合的 输入共模信号也可能超过已大大衰减的前置放大器输出 所有应用中, 在引脚 3 与 之间使用一个滤波电容, 可以消除引脚间耦合的影响 4 的输出电压范围随负载电阻和温度而变化 有关此规格的更多信息, 参见图 2 和图 3 5 的输出电压范围假设引脚 3( 输出 ) 和引脚 4( 输入 ) 短接在一起 测试使用 25 kω 负载电阻 6 的输出电压范围假设引脚 4( 输入 ) 通过外部电压源驱动 测试使用 25 kω 负载电阻 Rev. C Page 4 of 20

5 绝对最大额定值 表 2. 参数 额定值 电源电压 2 V 连续输入电压 ( 共模 ) 24 V 至 80 V 差分输入电压 ±2 V 反相电源电压保护 0.3 V ESD 人体模型 ±4000 V 工作温度范围 WBR 和 WBRM 级 40 C 至 25 C WHR 和 WHRM 级 40 C 至 50 C 存储温度范围 65 C 至 50 C 输出短路持续时间 未定 引脚温度范围 ( 焊接,0 秒 ) 300 C 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. C Page 5 of 20

6 引脚配置和功能描述 TOP VIEW (Not to Scale) 图 2. 引脚配置 图 3. 覆铜版照片 表 3. 引脚功能描述 坐标 引脚编号引脚名称 X Y 说明 IN 反相输入 地 前置放大器 () 输出 缓冲器 () 输入 缓冲器 () 输出 6 VS 电源 7 不连接 同相输入 Rev. C Page 6 of 20

7 典型性能参数除非另有说明,T OPR = 40 C 至 25 C,T A = 25 C, = 5 V,R L = 25 kω(r L 是输出负载电阻 ) V OSI (mv) GAIN ERROR (ppm) TEMPERATURE ( C) 图 4. 典型失调漂移与温度的关系 TEMPERATURE ( C) 图 7. 典型增益误差与温度的关系 GAIN (db) TOTAL INPUT BIAS CURRENT (ma) k 0k 00k FREQUEY (Hz) 图 5. 典型小信号带宽 M INPUT COMMON-MODE (V) 图 8. 总输入偏置电流与共模电压的关系, 和 引脚相连 ( 短路 ) C 25 C C CMRR (db) C INPUT BIAS CURRENT (na) C 25 C k 0k 00k M FREQUEY (Hz) 图 6. 典型 CMRR 与频率的关系 INPUT VOLTAGE (V) 图 9. 的输入偏置电流与输入电压和温度的关系 Rev. C Page 7 of 20

8 MAXIMUM PUT SINK CURRENT (ma) TEMPERATURE ( C) 图 0. 最大输出吸电流与温度的关系 PUT VOLTAGE RANGE (V) C 25 C C PUT SINK CURRENT (ma) 图 3. 输出电压与 压差与输出吸电流的关系 MAXIMUM PUT SOURCE CURRENT (ma) TEMPERATURE ( C) mV/DIV V/DIV TIME (2µs/DIV) INPUT PUT 图. 最大输出源电流与温度的关系 图 4. 上升时间 4.9 PUT VOLTAGE RANGE (V) C 25 C 25 C PUT SOURCE CURRENT (ma) mV/DIV V/DIV TIME (2µs/DIV) INPUT PUT 图 2. 的输出电压范围与输出源电流的关系 图 5. 下降时间 Rev. C Page 8 of 20

9 200mV/DIV 2V/DIV 2 INPUT 2 2V/DIV 0.0%/DIV PUT TIME (2µs/DIV) TIME (20µs/DIV) 图 6. 差分过载恢复时间 ( 上升 ) 图 9. 建立时间 ( 下降 ) 200mV/DIV C 25 C 40 C 2 INPUT 800 2V/DIV COUNT PUT 200 TIME (2µs/DIV) 图 7. 差分过载恢复时间 ( 下降 ) V OS (mv) 图 20. 失调分布图 V/DIV %/DIV COUNT TIME (20µs/DIV) 图 8. 建立时间 ( 上升 ) OFFSET DRIFT (µv/ C) 图 2. 失调漂移分布图 Rev. C Page 9 of 20

10 C 25 C 40 C COUNT COUNT GAIN DRIFT (ppm/ C) GAIN ERROR (%) 图 22. 增益漂移分布图 图 23. 增益误差 Rev. C Page 0 of 20

11 工作原理 是一款单电源差动放大器, 通常用于在快速变化的高共模电压情况下放大小差分电压 包含两个放大器 ( 和 ) 一个电阻网络 一个小型基准电压源和一个偏置电路 ( 未显示 ), 参见图 24 之前的输入衰减器组包括 R A R B 和 R C, 其总串联电阻约为 400 kω ± 20% 这些电阻的作用是衰减输入电压, 使其与 的输入电压范围匹配 该平衡电阻网络按 /4 的比例衰减共模信号 放大器输入保持在电源范围内, 无论引脚 和引脚 8 超过电源还是低于共模电压 ( 地 ) 350 mv 的基准电压将该衰减器偏置到地以上, 使放大器 能在负共模电压情况下工作 输入电阻网络也会衰减差模电压 因此, 的 40 V/V 增益提供的总系统增益 ( 从 ±IN 到 输出 ) 为 0 V/V, 如下式所示 : 增益 () = /4 (V/V) 40(V/V) = 0 V/V 一个精密微调的 00 kω 电阻与放大器 的输出端串联 用户可通过外部引脚 () 使用此电阻 将 连接到, 并放置一个接地电容, 便可轻松实现一个低通滤波器 ( 参见图 33) RF 和 RF2 的值为 0 kω, 为放大器 提供 2 V/V 的增益 引脚 和引脚 相连时, 提供的总系统增益为 : ( ) 总增益 (V/V) = 0 (V/V) x 2 (V/V) = 20 V/V 反映在 的输出端 ( 引脚 ) R A R B R C 和 R F 的比值调整到高精度水平, 使得典型 CMRR 值超过 80 db 这一性能是通过激光微调将电阻比匹配调整到优于 0.0% 的水平而实现的 R A R A R FILTER R B R B R G R M R R F R C R F C 350mV 图 24. 原理示意图 R F R F Rev. C Page of 20

12 应用信息带低端开关的高端电流检测在带低端开关的高端电流检测的负载控制配置中,PWM 控制开关以地为参考 感性负载 ( 电磁阀 ) 连接到电源 / 电池 开关和负载之间放置一个分流电阻 ( 参见图 25) 将分流电阻放在高端的好处是可以监控全部电流, 包括循环电流, 因为当开关断开时, 分流电阻仍在环路内 此外, 高端分流电阻还能检测短路接地, 从而增强控制环路的诊断能力 在该电路配置中, 当开关闭合时, 共模电压下移至负轨附近 当开关断开时, 感性负载上的电压反向导致共模电压保持在比电池电压高一个二极管压降的电平 BATTERY CLAMP DIODE INDUCTIVE LOAD SHUNT SWITCH 图 25. 低端开关 C F PUT 如果应用使用高端开关来对负载电流进行 PWM 控制, 则可以按照图 26 所示使用 通过续流二极管( 箝位二极管 ) 的循环电流由分流电阻监控 在该配置中, 当 FET 关闭时, 应用中的共模电压降至 以下 在低至 2 V 的电压下仍能工作, 提供精确的电流测量 高轨电流检测在高轨电流检测配置中, 分流电阻以电池为参考 电流检测放大器的输入端存在高压 当分流电阻以电池为参考时, 产生线性地参考模拟输出 此外,AD824 可用来在短至 00 ns 的时间内提供过流检测信号 ( 参见图 27) 对于过流条件下必须快速关断的高电流系统, 该特性很有用 OVERCURRENT DETECTION (<00ns) C F 5 AD824 V REG CLAMP DIODE SHUNT INDUCTIVE LOAD SWITCH BATTERY 图 27. 电池参考分流电阻低端电流检测在选用低端电流检测的系统中, 提供简单 高精度的集成解决方案 这种配置中, 抑制地噪声并提供高输入到输出线性度, 无论差分输入电压是多少 BATTERY SWITCH SHUNT PUT INDUCTIVE LOAD CLAMP DIODE SWITCH BATTERY PUT SHUNT CLAMP DIODE INDUCTIVE LOAD 图 26. 高端开关 C F 图 28. 地参考分流电阻 C F Rev. C Page 2 of 20

13 4 ma 至 20 ma 电流环路接收器 也可用于低电流检测应用中, 如图 29 所示的 4 ma 至 20 ma 电流环路接收器 这种应用中, 相对较大的分流电阻可能会降低共模抑制性能 在输入的低阻抗端增加一个等值电阻可解决这一问题 BATTERY 0Ω % 0Ω % PUT 串联的电阻来消除该失调电压 所用电阻应等于 00 kω 减去 R EXT 和 00 kω 的并联之和 例如,R EXT = 00 kω 时 ( 因而复合增益为 0 V/V), 可选失调消除电阻为 50 kω 大于 20 的增益在缓冲放大器的输出端与其同相输入端之间连接一个电阻可提高增益, 如图 3 所示 增益现在乘以如下系数 : REXT/(REXT 00 kω) 例如, 若 R EXT = 200 kω, 则增益加倍 通过这种方式可实现高达 50 倍的总增益 请注意, 增益精度与高增益下的电阻值密切相关 此外, 引脚 和引脚 8 的有效输入失调电压 ( 约为 实际失调电压的 6 倍 ) 限制了该器件在高增益直流耦合应用中的使用 图 ma 至 20 ma 电流环路接收器增益调整前置放大器和缓冲器的默认增益分别是 0 V/V 和 2 V/V, 因而复合增益为 20 V/V 通过增加外部电阻或调整器, 可以降低 提高或精密校准增益 小于 20 的增益前置放大器具有 00 kω 的输出电阻, 连接在引脚 3 和引脚 4 与 之间的外部电阻将按如下比例降低增益 ( 参见图 30): REXT/(00 kω REXT) V DIFF PUT C F 20R EXT GAIN = R EXT 00kΩ GAIN R EXT = 00kΩ 20 GAIN V DIFF V CM ΔG (0 MΩ REXT)% PUT 20R EXT GAIN = R EXT 00kΩ R EXT GAIN R EXT = 00kΩ GAIN 20 图 3. 大于 20 的增益调整增益微调图 32 显示了一种利用调整电位计和外部电阻 R EXT 来实现增量增益微调的方法 下面的近似公式适用于小增益范围 : 例如, 采用该公式,R EXT = 5 MΩ 时的调整范围是 ±2%, R EXT = MΩ 时的调整范围是 ±0% V CM R EXT PUT 图 30. 小于 20 的增益调整 V DIFF 采用这种方法时, 增益变化不会影响总体带宽, 不过缓冲器输入端的源电阻不平衡可能会引起小失调电压 很多情况下, 这是可以忽略的, 但若需要, 可插入一个与引脚 4 V CM R EXT GAIN TRIM 20kΩ MIN 图 32. 增量增益微调 Rev. C Page 3 of 20

14 内部信号过载考虑配置 20 以外的增益值时, 必须考虑相对于电源电压和地的最大输入电压, 因为前置放大器或输出缓冲器在大差分输入电压下会达到其满量程输出 ( 0. V) 总增益 0 时, 的输入以 ( 0.) 0 为限, 因为前置放大器 ( 具有固定增益 0 V/V) 会先于输出缓冲器达到满量程输出 增益大于 0 时, 缓冲器输出摆幅首先达到满量程, 然后将 输入限制在 ( 0.) G, 其中 G 是总增益 低通滤波在很多传感器应用中, 需要滤除信号中的杂散高频成分, 包括噪声, 或提取峰均比 (PAR) 大于 的波动信号的平均值 例如, 全波整流正弦波的 PAR 为.57, 升余弦波的 PAR 为 2, 半波正弦波的 PAR 为 3.4 具有大尖峰的信号的 PAR 可能为 0 或更大 实现一个滤波器时, 应当考虑 PAR, 使得 前置放大器 () 的输出不会在 之前削波, 否则, 非线性将被平均, 表现为输出误差 为避免这种误差, 两个放大器应同时削波 当 PAR 不大于第二放大器的增益 ( 默认配置为 2) 时, 便实现了这一条件 例如, 若预期 PAR 为 5, 则 的增益应提高到 5 利用 提供的特性, 可通过多种方式实现低通滤波器 最简单的情形是将 的输出通过内部 00 kω 电阻连接到 的输入, 即将引脚 3 连接到引脚 4, 并在此节点与地之间添加一个电容, 从而形成一个单极点滤波器 (20 db/0 倍 ), 如图 33 所示 如果在该电容两端增加一个电阻以降低增益, 转折频率将提高 因此, 增益应利用该电阻与 00 kω 的并联之和计算 PUT 如果利用一个电阻提高增益, 如图 3 所示, 转折频率将降低, 降低的比例与增益提高的比例相同 因此, 使用 200 kω 电阻时 ( 增益将加倍 ), 转折频率将缩小到 Hz µf(20 Hz 转折频率对应 µf) ATTENUATION 40log (f 2 /f ) V DIFF V CM PUT C f C (Hz) = /C(µF) 255kΩ C 图 34. 双极点低通滤波器 采用图 34 所示的连接, 可实现一个滚降为 40 db/0 倍的双极点滤波器 该配置是基于一个 2 倍放大器的 Sallen-Key 滤波器 转折频率为 f 2 的双极点滤波器与转折频率为 f 的单极点滤波器具有相同的衰减, 即 40 log (f 2 /f ), 如图 35 所示, 记住这一点是很有帮助的 采用图 34 所示的标准电阻值和等值电容, 转折频率方便地调整为 Hz µf(20 Hz 转折频率对应 0.05 µf) 当电阻降低到 96 kω 时, 产生最大平坦响应, 转折频率调整到.45 Hz µf 输出失调提高约 5 mv( 等效于输入引脚的 250 µv) 40dB/DECADE 20dB/DECADE V DIFF f C = 2C0 5 C IN FARADS A -POLE FILTER, CORNER f, AND A 2-POLE FILTER, CORNER f 2, HAVE THE SAME ATTENUATION 40log (f 2 /f ) AT FREQUEY f 2 2 /f V CM C F 图 33. 使用内部 00 kω 电阻的单极点低通滤波器 f f 2 f 2 2 /f FREQUEY 图 35. 单极点和双极点低通滤波器的响应比较 Rev. C Page 4 of 20

15 带 LPF 和增益调整的高线电流检测图 36 所示电路与图 25 相似, 但包括增益调整和低通滤波功能 BATTERY CLAMP DIODE INDUCTIVE LOAD SHUNT PUT 4V/AMP 9kΩ 为了产生 4 V 满量程输出, 使用 40 V/V 的增益, 可调范围为 ±5% 以抵消分流电阻的容差 裕量足以支持 0% 的超范围 ( 至 4.4 V) 检测电阻上大致为三角形的电压由一个单极点低通滤波器平均, 该滤波器的转折频率为 3.6 Hz, 在 00 Hz 时提供大约 30 db 的衰减 采用一个转折频率为 20 Hz 的双极点滤波器可获得更高的衰减率, 如图 37 所示 虽然此电路使用两个独立电容, 但总容值不到单极点滤波器所需电容的一半 SWITCH C 5% CALIBRATION RANGE f C (Hz) = 0.767Hz/C(µF) (0.22µF FOR f C = 3.6Hz) 图 36. 高线电流传感器接口 ; 增益 = 40 V/V, 单极点低通滤波器 V OS/IB NULL 20kΩ 一个功率开关器件控制负载中的电流 平均电流与输入脉冲的占空比成比例, 并由小值电阻检测 分流电阻上的平均差分电压通常为 00 mv, 不过其峰值较高, 高出的量取决于负载的电感和转折频率 另一方面, 共模电压范围是地以上约 V( 接通条件 ) 至电池电压以上约.5 V( 断开条件 ) 箝位二极管的导通调节施加于器件的共模电位 例如,20 V 的电池尖峰可能导致 2.5 V 的共模电位施加于器件输入端 BATTERY CLAMP DIODE INDUCTIVE LOAD SHUNT SWITCH 图 37. 双极点低通滤波器 C 27kΩ C 432kΩ 50kΩ PUT f C (Hz) = /C(µF) (0.05µF FOR f C = 20Hz) Rev. C Page 5 of 20

16 外形尺寸 5.00 (0.968) 4.80 (0.890) 4.00 (0.574) 3.80 (0.497) (0.244) 5.80 (0.2284) 0.25 (0.0098) 0.0 (0.0040) COPLANARITY 0.0 SEATING PLANE.27 (0.0500) BSC.75 (0.0688).35 (0.0532) 0.5 (0.020) 0.3 (0.022) (0.0098) 0.7 (0.0067) 0.50 (0.096) 0.25 (0.0099).27 (0.0500) 0.40 (0.057) 45 COMPLIANT TO JEDEC STANDARDS MS-02-AA CONTROLLING DIMENSIONS ARE IN MILLIMETERS; IH DIMENSIONS (IN PARENTHESES) ARE ROUNDED-OFF MILLIMETER EQUIVALENTS FOR REFEREE ONLY AND ARE NOT APPROPRIATE FOR USE IN DESIGN. 图 引脚标准小型封装 [SOIC_N] 窄体 (R-8) 图示尺寸单位 :mm 和 (inch) A PIN IDENTIFIER COPLANARITY BSC MAX MAX COMPLIANT TO JEDEC STANDARDS MO-87-AA 图 引脚超小型封装 [MSOP] (RM-8) 图示尺寸单位 :mm B Rev. C Page 6 of 20

17 订购指南, 2 型号 温度范围 封装描述 封装选项 标识 WBRZ 40 C 至 25 C 8 引脚 SOIC_N R-8 WBRZ-R7 40 C 至 25 C 8 引脚 SOIC_N,7" 卷带和卷盘 R-8 WBRZ-RL 40 C 至 25 C 8 引脚 SOIC_N,3" 卷带和卷盘 R-8 WBRMZ 40 C 至 25 C 8 引脚超小型封装 [MSOP] RM-8 Y2F WBRMZ-R7 40 C 至 25 C 8 引脚超小型封装 [MSOP],7 卷带和卷盘 RM-8 Y2F WBRMZ-RL 40 C 至 25 C 8 引脚超小型封装 [MSOP],3 卷带和卷盘 RM-8 Y2F WHRZ 40 C 至 50 C 8 引脚 SOIC_N R-8 WHRZ-RL 40 C 至 50 C 8 引脚 SOIC_N,3" 卷带和卷盘 R-8 WHRMZ 40 C 至 50 C 8 引脚超小型封装 [MSOP] RM-8 Y52 WHRMZ-RL 40 C 至 50 C 8 引脚超小型封装 [MSOP],3 卷带和卷盘 RM-8 Y52 Z = 符合 RoHS 标准的器件 2 W = 通过汽车应用认证 汽车应用产品 W 生产工艺受到严格控制, 以提供满足汽车应用的质量和可靠性要求 请注意, 车用型号的技术规格可能不同于商用型号 ; 因此, 设计人员应仔细阅读本数据手册的技术规格部分 只有显示为汽车应用级的产品才能用于汽车应用 欲了解特定产品的订购信息并获得这些型号的汽车可靠性报告, 请联系当地 ADI 客户代表 Rev. C Page 7 of 20

18 注释 Rev. C Page 8 of 20

19 注释 Rev. C Page 9 of 20

20 注释 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D0874sc-0-2/3(C) Rev. C Page 20 of 20

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

AD86/AD862/AD864 目录 产品特性... 应用... 概述... 引脚配置... 修订历史...2 技术规格...3 电气特性...3 绝对最大额定值... 热阻... ESD 警告... 典型性能参数...6 工作原理... 轨到轨输入级... 修订历史 2 年 月 修订版 F 至修

AD86/AD862/AD864 目录 产品特性... 应用... 概述... 引脚配置... 修订历史...2 技术规格...3 电气特性...3 绝对最大额定值... 热阻... ESD 警告... 典型性能参数...6 工作原理... 轨到轨输入级... 修订历史 2 年 月 修订版 F 至修 精密 CMOS 单电源 轨到轨输入 / 输出 宽带运算放大器 AD86/AD862/AD864 产品特性低失调电压 : μv( 最大值 ) 单电源供电 :2.7 V 至. V 低电源电流 : 每个放大器 7 μa 宽带宽 :8 MHz 压摆率 : V/μs 低失真无相位反转低输入电流单位增益稳定通过汽车应用认证 应用电流检测条形码扫描器 PA 控制电池供电仪器仪表多极滤波器传感器 ASIC 输入或输出放大器音频

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

TONE RINGER

TONE RINGER 零漂移 单电源 输入输出轨到轨高精度运放 描述 MS8628/MS8629/MS8630 均为输出幅度轨到轨 宽带宽 低噪声 自稳零放大器, 具有超低失调 漂移和偏置电流特性 它采用 1.8V 至 5V 单电源 (±0.9 V 至 ±2.5 V 双电源 ) 供电 MS8628/MS8629/MS8630 具有以前昂贵的自稳零或斩波放大器才具有的特性优势, 此外, 还大大降低了大多数斩波稳定放大器存在的数字开关噪声

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

ADA485-1/ADA485- 目录产品特性... 1 应用... 1 概述... 1 典型应用电路... 1 修订历史... 规格... 3 ±5 V 电源 V 电源 V 电源... 5 绝对最大额定值... 7 热阻... 7 最大功耗... 7 ESD 警告...

ADA485-1/ADA485- 目录产品特性... 1 应用... 1 概述... 1 典型应用电路... 1 修订历史... 规格... 3 ±5 V 电源 V 电源 V 电源... 5 绝对最大额定值... 7 热阻... 7 最大功耗... 7 ESD 警告... . μv/ C 失调漂移 15 MHz 低功耗 低噪声 轨到轨放大器 ADA485-1/ADA485- 产品特性低输入失调电压 :15 µv( 最大值 ) 低输入失调电压温漂. µv/ C( 典型值 ) 1.5 µv/ C( 最大值 ) 超低电源电流 : 每个放大器 5 µa 额定电源电压 :V S = 3 V 5 V ±5 V 高速性能 3 db 带宽 :15 MHz 压摆率 :16 V/µs.1%

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation NO. 1 2 3 4 5 6 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation charge current 最大连续充电电流 units 单位 V s 参数 5V V 2.4V ma 1100mA Max continuous discharge current 最大连续放电电流 ma 1100mA

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

. B. PWM PWM. PWM 不. 不, 流 不. C., 類 13.4. PC. 更 參 4.5. D.,. E... 參 4.4. F.. 數 28 爲. 了 28. G. 不 量. 更, 爲. 7 數 良., 爲, 7 PC. 參 7.0 4.3 度 4.3.1 度 (RTS) RTS

. B. PWM PWM. PWM 不. 不, 流 不. C., 類 13.4. PC. 更 參 4.5. D.,. E... 參 4.4. F.. 數 28 爲. 了 28. G. 不 量. 更, 爲. 7 數 良., 爲, 7 PC. 參 7.0 4.3 度 4.3.1 度 (RTS) RTS : 連. 更. 參 4.3. 度 : 25 C (77 F) 爲. 度 5 C, 12 0.15., 度 來 度. 參 4.3. - : TriStar. 量 黎. :,., - 來. DIP 8 狀. 烈 濾 來 爲. 類 : TriStar - 類. 4.2. 類 9.0. 4.2 TriStar 7 DIP ( 參 3). - (, AGM, ) L-16., DIP 8 爲 PC. 了 參

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

SW-928 0016501528800.cdr

SW-928  0016501528800.cdr 三 洋 全 自 動 洗 衣 機 使 用 說 明 書 為 了 安 全 請 務 必 遵 守 事 項 1~2 使 用 注 意 事 項 及 洗 衣 要 訣 3 各 部 名 稱 4 操 作 面 板 名 稱 及 功 能 5~6 一 般 的 使 用 方 法 7~8 自 選 行 程 9 ~10 標 準 行 程 11 浸 泡 行 程 12 羊 毛 行 程 12 毛 毯 行 程 13 洗 濯 量 和 洗 劑 量 的 關

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

性能 Performance(E J L) 试验项目 Test Items 压敏电阻器电压 Varistor Voltage 耐焊接热 Resistance to soldering heat 焊接性 Solderability 温度突变 Rapid change of temperature 耐浪

性能 Performance(E J L) 试验项目 Test Items 压敏电阻器电压 Varistor Voltage 耐焊接热 Resistance to soldering heat 焊接性 Solderability 温度突变 Rapid change of temperature 耐浪 VARISTORS NV7 Multilayer Type Metal Oxide Varistors 结构图 Construction EU RoHS t W C L C Coating color Black 特点 Features RoHS RoHS Varistors own two-way symmetries and can absorb positive and negative surges.

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

诚芯微科技

诚芯微科技 概述 特点 是一款输入耐压可达 36V, 并且能够实现精确恒压以及恒流的降压型 DC-DC 转换器 内置 50mΩ High-side PMOS 以及 30mΩ Low-side NMOS, 可支持 3A 持续输出电流输出电压可调, 最大可支持 100% 占空比 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压和恒流控制环路实现极小的负载调整率和线性调整率 无需外部补偿, 可以依靠自身内置稳定环路实现恒流以及恒压控制,

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

SW-688UF 0016501528900.cdr

SW-688UF  0016501528900.cdr 三 洋 全 自 動 衣 機 使 用 說 明 書 為 了 安 全 請 務 必 遵 守 事 項 1~2 使 用 事 項 及 衣 要 訣 3 各 部 名 稱 4 濯 量 和 劑 量 的 關 係 5 操 作 面 板 名 稱 及 功 能 6 一 般 的 使 用 方 法 7 標 準 行 程 7 全 自 動 行 程 8 浸 泡 行 程 8 毛 毯 行 程 9 羊 毛 行 程 10~11 自 選 行 程 12 各

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

Microsoft Word - MC358CHN.doc

Microsoft Word - MC358CHN.doc MC358 低功耗双运算放大器 版本 2.0 内容 : 描述....2 脚位描述....3 电气特性.3-4 典型单电源应用........5 典型特性曲线.6-7 包装流程图....8 重要声明........9 修改历史 版本 发布日期 注释 V1.0 Jan. 10, 2007 初版 V2.0 Aug.8, 2010 更新规格书格式 516 Bld 4, National Software Base,

More information

ºÎÓ±

ºÎÓ± FT838D 5V2A 设计报告 Description Symbol Min Type Max Unit Comment Input Voltage Vin 90 / 264 Vac Input Frequency Fline 47 50/60 63 Hz No-load Input Power (@230Vac) Active Mode Efficiency Pst / / 150 mw η /

More information

陳偉補習班環境介紹

陳偉補習班環境介紹 肆 各 专 业 科 目 可 报 考 学 校 一 览 表 选 考 : 经 济 学 ( 含 政 治 经 济 学 微 观 经 济 学 宏 观 经 济 学 ) 020201 国 民 经 济 学 8 北 京 光 华 管 理 学 020204 金 融 学 83 020205 产 业 经 济 学 4 清 华 经 济 管 理 学 020100 理 论 经 济 学 020200 应 用 经 济 学 6 020201

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

目录 特性...1 应用...1 连接图...1 概述...1 修订历史...2 技术规格...3 ±5 工作 工作...4 绝对最大额定值...5 热阻...5 最大安全功耗...5 ESD 警告...5 引脚配置和功能描述...6 典型工作特性...8 测试电路...13 工作原理.

目录 特性...1 应用...1 连接图...1 概述...1 修订历史...2 技术规格...3 ±5 工作 工作...4 绝对最大额定值...5 热阻...5 最大安全功耗...5 ESD 警告...5 引脚配置和功能描述...6 典型工作特性...8 测试电路...13 工作原理. OUT2 5 S2 6 PD2 7 FB2 8 16 15 14 13 FB1 PD1 低噪声 1 GHz FastFET 运算放大器 ADA4817-1/ADA4817-2 特性高速 3 db 带宽 :15 MHz(G = 1,RL = 1 Ω) 压摆率 :87 /μs.1% 建立时间 :9 ns 低输入偏置电流 :2 pa 低输入电容共模电容 :1.3 pf 差模电容 :.1 pf 低噪声 4

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

Microsoft Word - 0master.doc

Microsoft Word - 0master.doc Ti90, Ti95 Ti100, Ti105, Ti110, Ti125 TiR105, TiR110, TiR125 Thermal Imagers February 2012, Rev.2, 6/14 (Simplified Chinese) 2012-2014 Fluke Corporation. All rights reserved. Specifications are subject

More information

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct 2 A-5VL 3 4 5 10 ( ) 15 Onkyo A-5VL A-5VL 17 18 Ct 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 2 0c m 8" 10cm 4" 10cm 4" Ct-2 1. 2. Onkyo 3. 4. AC120V60Hz AC220-240V50/60Hz

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2 9 PA-MC5500 2 6 10 17 Onkyo9 9 Cs I 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 20cm 10cm 10cm Cs-2 1. 2. Onkyo 3. 4. AC 230V 50Hz AC 120V 60Hz [ON/STANDBY]

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information