修 订 历 史 下 表 列 出 了 本 文 档 的 修 订 历 史 : 日 期 版 本 修 订 描 述 2014 年 4 月 2 日 年 12 月 18 日 精 简 功 耗 这 一 节 重 点 修 订 Vivado 设 计 套 件 流 程 和 配 置 与 调 试

Size: px
Start display at page:

Download "修 订 历 史 下 表 列 出 了 本 文 档 的 修 订 历 史 : 日 期 版 本 修 订 描 述 2014 年 4 月 2 日 2014.1 2013 年 12 月 18 日 2013.4 精 简 功 耗 这 一 节 重 点 修 订 Vivado 设 计 套 件 流 程 和 配 置 与 调 试"

Transcription

1 UltraFASTTM Vivado 设 计 套 件 的 UG949( 版 本 ),2014 年 4 月 2 日

2 修 订 历 史 下 表 列 出 了 本 文 档 的 修 订 历 史 : 日 期 版 本 修 订 描 述 2014 年 4 月 2 日 年 12 月 18 日 精 简 功 耗 这 一 节 重 点 修 订 Vivado 设 计 套 件 流 程 和 配 置 与 调 试 两 章 修 改 特 定 编 排 错 误 标 题 名 称 / 字 体 及 其 它 小 的 改 动 删 除 附 录 中 的 检 查 清 单 用 文 档 导 航 器 中 提 供 的 检 查 清 单 版 本 替 换 这 些 链 接 2013 年 11 月 25 日 修 正 表 格 里 的 错 误 内 容 2013 年 10 月 27 日 修 正 错 误 链 接 2013 年 10 月 23 日 赛 灵 思 初 始 版 本 Send Feedback 2

3 目 录 第 1 章 : 引 言 关 于 本 指 南... 6 指 南 内 容... 6 指 南 适 用 性 与 参 考 资 料... 7 设 计 方 法 需 求... 7 设 计 方 法 检 查 清 单... 8 设 计 流 程... 9 快 速 验 证 访 问 技 术 文 档 和 培 训 资 料 第 2 章 :Vivado 设 计 套 件 流 程 Vivado 设 计 套 件 流 程 简 介 Vivado 设 计 套 件 使 用 模 型 配 置 和 管 理 IP 用 IP 集 成 器 创 建 与 管 理 IP 子 系 统 封 装 定 制 IP 和 IP 子 系 统 创 建 定 制 接 口 源 文 件 管 理 将 设 计 与 IP 升 级 到 最 新 Vivado 版 本 配 合 使 用 源 文 件 控 制 系 统 和 Vivado 工 具 使 用 Vivado 仿 真 使 用 第 三 方 综 合 与 仿 真 工 具 第 3 章 : 单 板 和 器 件 规 划 单 板 和 器 件 规 划 简 介 PCB 布 局 建 议 时 钟 资 源 规 划 与 分 配 I/O 规 划 设 计 流 程 改 成 FPGA 电 源 系 统 Send Feedback 3

4 利 用 赛 灵 思 功 耗 估 计 器 (XPE) 进 行 最 差 情 况 功 耗 分 析 配 置 第 4 章 : 设 计 创 建 设 计 创 建 简 介 定 义 理 想 的 设 计 层 级 充 分 使 用 IP 核 RTL 编 码 指 南 控 制 信 号 和 控 制 集 掌 握 调 用 的 结 果 调 用 RAM 和 ROM 适 用 DSP 和 算 法 调 用 的 编 码 移 位 寄 存 器 和 延 迟 线 编 码 初 始 化 全 部 调 用 的 寄 存 器 SRL 和 存 储 器 参 数 属 性 和 约 束 时 钟 判 断 实 例 化 或 调 用 的 时 机 提 高 可 靠 性 的 编 码 方 式 改 善 性 能 的 编 码 方 式 改 善 功 耗 的 编 码 方 式 创 建 约 束 第 5 章 : 实 现 实 现 简 介 综 合 综 合 属 性 自 下 而 上 流 程 综 合 后 的 步 骤 实 现 设 计 时 序 收 敛 功 耗 第 6 章 : 配 置 与 调 试 配 置 与 调 试 简 介 配 置 调 试 Send Feedback 4

5 : 目 录 附 录 A : 基 线 ( baselining) 与 时 序 约 束 验 证 流 程 简 介 过 程 附 录 B : 附 加 资 源 与 法 律 提 示 赛 灵 思 资 源 解 决 方 案 中 心 参 考 资 料 请 阅 读 : 重 要 法 律 提 示 Send Feedback 5

6 第 1 章 引 言 关 于 本 指 南 赛 灵 思 可 编 程 器 件 含 有 数 百 万 个 逻 辑 单 元 (LC), 集 成 越 来 越 多 的 当 前 复 杂 电 子 系 统, 其 中 包 括 : 嵌 入 式 子 系 统 模 拟 和 数 字 处 理 高 速 连 接 功 能 网 络 处 理 为 了 在 很 短 的 设 计 时 间 内 创 建 出 如 此 复 杂 的 系 统, 设 计 人 员 需 要 综 合 RTL 级 的 众 多 大 型 逻 辑 模 块, 还 要 重 复 使 用 赛 灵 思 或 第 三 方 提 供 的 IP 模 块 考 虑 到 设 计 流 程 的 复 杂 性, 我 们 应 采 取 统 称 为 UltraFast 设 计 方 法 的 一 套 最 佳 实 践, 这 是 一 套 可 最 大 限 度 提 高 系 统 集 成 和 设 计 实 现 生 产 力 的 最 佳 实 践 方 法 指 南 内 容 本 指 南 介 绍 了 高 效 快 速 完 成 设 计 实 现 应 遵 循 的 设 计 方 法 流 程, 从 而 充 分 发 挥 赛 灵 思 器 件 和 工 具 的 最 佳 效 用 在 大 多 数 情 况 下, 本 指 南 会 介 绍 有 关 建 议 背 后 的 推 理 过 程 理 解 有 关 推 理, 更 利 于 您 了 解 建 议 方 法 能 实 现 什 么 样 的 潜 在 效 果, 也 有 助 于 采 取 适 当 的 预 防 措 施 Send Feedback 6

7 第 1 章 : 引 言 指 南 适 用 性 与 参 考 资 料 本 指 南 主 要 适 用 于 赛 灵 思 Vivado 设 计 套 件, 不 过 本 指 南 中 的 大 多 数 概 念 性 信 息 也 可 用 于 赛 灵 思 ISE 设 计 套 件 本 指 南 提 供 了 高 级 信 息 设 计 指 导 和 设 计 决 策 权 衡 信 息 本 指 南 包 括 对 其 它 文 档 的 引 用, 诸 如 Vivado 设 计 套 件 用 户 指 南 Vivado 设 计 套 件 教 程 和 快 速 入 门 视 频 教 程 本 指 南 不 能 替 代 上 述 技 术 文 档 如 欲 了 解 最 新 详 细 信 息, 包 括 工 具 使 用 和 设 计 方 法 的 描 述, 仍 应 参 考 上 述 技 术 文 档 完 整 参 考 文 件 列 表 请 见 : 附 件 B : 附 加 资 源 与 法 律 提 示 本 指 南 在 不 同 地 方 会 就 特 定 任 务 给 出 Vivado 工 具 命 令 运 行 命 令 时 加 上 -help, 可 了 解 详 细 信 息 ( 包 括 实 例 使 用 ) 设 计 方 法 需 求 当 今 日 益 复 杂 的 电 子 产 品 中 使 用 的 高 级 算 法 正 在 挑 战 密 度 性 能 和 功 耗 的 极 限, 同 时 也 使 设 计 团 队 面 临 诸 多 挑 战, 要 求 他 们 必 须 在 限 定 的 预 算 内 按 时 完 成 设 计 目 标, 获 得 机 会 窗 口 UltraFast 设 计 方 法 可 帮 助 工 程 管 理 人 员 : 加 速 产 品 上 市 进 程, 从 而 提 高 产 品 营 收 和 市 场 份 额 制 定 一 个 准 确 的 工 程 进 度 与 成 本 估 算 表, 以 降 低 风 险 Vivado 设 计 套 件 的 是 一 个 最 佳 实 践 集 合, 涵 盖 板 级 规 划 设 计 创 建 IP 集 成 设 计 实 现 与 收 敛 技 术 编 程 以 及 硬 件 调 试 等 各 个 方 面 这 些 最 佳 实 践 和 建 议 来 源 于 过 去 多 年 的 专 家 级 用 户 经 验 中 的 有 关 建 议 将 帮 助 您 像 许 多 其 他 赛 灵 思 客 户 一 样 取 得 成 功 Vivado 设 计 套 件 还 能 实 现 UltraFast 设 计 方 法 部 分 自 动 化, 例 如 如 下 提 供 的 支 持 : DRC 规 则 检 查 提 供 有 关 HDL 编 码 和 XDC 约 束 方 面 的 指 南, 从 而 可 帮 助 工 程 师 在 设 计 流 程 早 期 阶 段 提 高 设 计 质 量, 避 免 问 题 传 递 到 下 个 阶 段 导 致 设 计 反 复 带 来 更 高 的 成 本 经 过 验 证 的 模 板 针 对 特 定 HDL 编 码 和 XDC 约 束, 实 现 生 成 即 保 证 最 佳 的 代 码 Send Feedback 7

8 第 1 章 : 引 言 设 计 方 法 检 查 清 单 要 全 面 发 挥 UltraFast 设 计 方 法 的 作 用, 应 将 本 指 南 与 设 计 方 法 检 查 清 单 ( 简 称 检 查 清 单 ) 结 合 使 用 该 检 查 清 单 包 含 从 规 划 到 所 有 后 续 设 计 阶 段 等 整 个 设 计 流 程 中 要 考 虑 的 常 见 问 题 以 及 建 议 采 取 的 行 为 检 查 清 单 中 重 点 列 出 了 通 常 难 以 发 现 或 经 常 忽 略 的 问 题, 而 这 些 典 型 的 问 题 会 导 致 设 计 决 策 在 后 期 形 成 分 歧 检 查 清 单 中 列 出 的 大 多 数 问 题 都 可 以 链 接 到 本 指 南 或 其 它 赛 灵 思 技 术 文 档 中 的 相 关 内 容 这 些 参 考 资 料 就 解 决 这 些 问 题 产 生 的 设 计 问 题 提 供 了 指 南 Vivado 设 计 套 件 配 套 提 供 文 档 导 航 器 (Documentation Navigator)( 参 见 使 用 文 档 导 航 器 ) 您 可 用 文 档 导 航 器 版 及 更 新 版 本 访 问 检 查 清 单 功 能 在 文 档 导 航 器 中 可 通 过 以 下 步 骤 开 始 使 用 设 计 方 法 检 查 清 单 : 1. 点 击 Design Hub View 标 签 2. 在 左 侧 菜 单 顶 部 点 击 Create Design Checklist 3. 在 新 设 计 检 查 清 单 对 话 框 中 输 入 信 息 并 点 击 OK 4. 打 开 新 的 检 查 清 单 检 查 清 单 顶 部 上 的 各 个 标 签 ( 见 图 1-1) 提 供 了 导 航 功 能 标 题 页 标 签 提 供 了 使 用 检 查 清 单 的 基 本 信 息 您 也 可 点 击 其 它 标 签 查 看 检 查 清 单 问 题 和 指 南 图 1-1 : 文 档 导 航 器 中 的 设 计 方 法 检 查 清 单 标 签 设 计 方 法 检 查 清 单 还 通 过 下 列 网 址 提 供 了 传 统 电 子 数 据 表 版 本 : Send Feedback 8

9 第 1 章 : 引 言 设 计 流 程 图 1-2 : 设 计 流 程 步 骤 给 出 了 设 计 过 程 的 各 个 步 骤 这 些 步 骤 在 时 间 上 往 往 是 重 叠 的 有 时 进 程 可 能 返 回 到 前 一 步, 从 而 形 成 设 计 反 复 工 程 设 计 反 复 / 规 划 单 板 和 FPGA 规 划 设 计 创 建 实 现 配 置 和 调 试 X13631 图 1-2 : 设 计 流 程 中 的 各 个 步 骤 该 检 查 清 单 和 本 方 法 指 南 系 按 设 计 阶 段 组 织 当 进 入 每 一 个 设 计 阶 段 时, 赛 灵 思 建 议 审 查 检 查 清 单 中 的 对 应 标 签 和 本 方 法 指 南 中 的 章 节 本 指 南 介 绍 了 从 设 计 初 期 阶 段 适 当 监 控 设 计 预 算 ( 如 面 积 功 耗 时 序 等 ) 以 及 纠 正 设 计 的 重 要 性 在 进 入 实 现 阶 段 之 前, 需 高 度 重 视 为 设 计 创 建 正 确 的 时 序 约 束 由 于 Vivado 工 具 从 头 到 尾 整 个 过 程 使 用 基 于 时 序 的 算 法, 设 计 流 程 从 一 开 始 就 要 做 正 确 的 约 束 指 定 正 确 的 时 序 要 求, 除 了 其 他 有 关 要 求 外, 尤 其 需 要 分 析 每 个 主 时 钟 及 其 相 关 生 成 时 钟 在 设 计 中 的 关 系 与 ISE(UCF) 不 同 的 是, 在 Vivado 工 具 (XDC) 中 每 对 有 数 据 交 互 的 时 钟 都 需 要 做 时 序 约 束, 除 非 明 确 声 明 为 异 步 时 钟 域 或 伪 路 径 时 序 分 析 应 在 综 合 之 后 进 行, 且 必 须 在 进 入 下 一 设 计 阶 段 之 前 确 保 在 每 个 实 现 阶 段 中 在 正 确 的 约 束 下 满 足 时 序 要 求 通 过 以 下 建 议 并 配 合 使 用 Vivado 设 计 套 件 的 互 动 分 析 环 境 可 以 加 速 整 个 时 序 与 实 现 收 敛 另 外, 还 可 通 过 融 合 上 述 方 法 以 及 本 指 南 中 的 HDL 设 计 指 南 进 一 步 加 速 收 敛 过 程 图 1-3 : 快 速 收 敛 的 设 计 方 法 给 出 了 这 种 高 级 方 法 的 一 些 细 节 Send Feedback 9

10 第 1 章 : 引 言 X-Ref Target - Figure 1-3 图 1-3 : 快 速 收 敛 的 设 计 方 法 如 设 计 目 标 能 以 正 时 序 裕 量 (positive slack)( 或 相 对 较 小 的 负 时 序 裕 量 (negative slack)) 满 足, 那 么 设 计 流 程 的 综 合 部 分 可 视 为 完 成 如 果 综 合 后 时 序 未 能 满 足 ( 或 未 接 近 满 足 ), 那 么 布 局 布 线 结 果 不 太 可 能 满 足 时 序 要 求 不 过, 我 们 仍 可 以 往 前 继 续 执 行 其 它 流 程 有 时 如 果 实 现 工 具 能 为 伪 路 径 分 配 最 佳 资 源, 那 么 也 有 可 能 收 敛 时 序 即 便 时 序 未 得 到 满 足, 您 仍 能 更 准 确 地 掌 握 负 时 序 裕 量 的 大 小 更 准 确 地 掌 握 实 现 后 负 时 序 裕 量, 有 助 于 判 断 使 用 改 进 后 的 HDL 和 约 束 重 新 综 合 时, 综 合 后 最 差 的 建 立 时 间 负 裕 量 (WNS) 应 改 善 的 程 度 Send Feedback 10

11 第 1 章 : 引 言 从 概 念 上 讲, 设 计 流 程 早 期 阶 段 (C C++ 和 HDL 综 合 ) 对 设 计 性 能 密 度 和 功 耗 的 影 响 要 远 远 高 于 后 续 阶 段 参 见 图 1-4 图 1-4 : 整 个 流 程 中 设 计 变 更 的 影 响 相 应 的, 如 果 设 计 没 有 满 足 时 序 目 标 要 求, 那 么 赛 灵 思 不 建 议 您 在 实 现 阶 段 尝 试 设 计 反 复 去 寻 找 解 决 方 案, 而 是 建 议 您 重 新 分 析 综 合 阶 段 及 其 输 入 ( 包 括 HDL 和 约 束 ) 要 确 保 从 一 开 始 就 正 确 而 且 要 在 早 期 阶 段 就 关 注 设 计 目 标, 这 非 常 重 要 因 此 本 指 南 为 RTL 时 钟 引 脚 和 PCB 规 划 均 提 供 了 指 南 在 每 个 设 计 阶 段 对 设 计 进 行 正 确 定 义 和 验 证 有 助 于 缓 解 后 续 实 现 阶 段 的 时 序 收 敛 布 线 收 敛 和 功 耗 问 题 Send Feedback 11

12 第 1 章 : 引 言 快 速 验 证 本 指 南 介 绍 了 系 统 架 构 和 微 架 构 选 择 各 个 具 体 方 面 的 快 速 验 证 概 念 这 个 概 念 可 应 用 于 两 种 不 同 环 境 在 系 统 设 计 环 境 下,I/O 带 宽 进 行 系 统 内 验 证, 这 一 步 甚 至 在 设 计 核 心 实 现 之 前 就 要 进 行 如 欲 了 解 更 多 信 息, 敬 请 参 阅 第 57 页 的 接 口 带 宽 验 证 这 个 步 骤 凸 显 了 I/O 最 终 确 定 之 前 可 能 需 要 修 改 系 统 架 构 和 接 口 选 择 在 设 计 实 现 环 境 下, 基 线 (baselining)( 参 阅 : 第 229 页 的 设 计 基 准 (baselining)) 用 来 编 写 最 简 单 的 约 束 集, 可 明 确 器 件 时 序 挑 战 这 一 过 程 能 体 现 了 进 入 实 现 阶 段 前 需 要 修 改 RTL 微 架 构 选 择 作 为 建 立 良 好 设 计 方 法 的 一 部 分, 明 确 到 底 如 何 进 行 Vivado 设 计 套 件 互 动 非 常 重 要 Vivado 设 计 套 件 提 供 灵 活 的 使 用 模 式, 能 支 持 各 种 开 发 流 程 和 不 同 的 设 计 类 型 第 2 章 Vivado 设 计 套 件 流 程 将 探 讨 Vivado 工 具 支 持 的 不 同 使 用 模 型 这 有 助 于 您 确 定 使 用 模 型 后 续 各 章 将 帮 助 您 了 解 以 下 相 关 方 法 和 技 术 各 方 面 的 更 多 详 情 : 时 序 约 束 定 义 与 验 证 器 件 中 的 I/O 和 时 钟 规 划 选 择 和 配 置 IP 创 建 IP 子 系 统 封 装 定 制 逻 辑 仿 真 设 计 规 则 检 查 (DRC) 功 耗 分 析 与 优 化 时 序 收 敛 流 程 硬 件 验 证 ( 调 试 内 核 插 入 与 配 置 ) 建 议 : 根 据 本 指 南 提 供 的 设 计 方 法 建 议 可 最 大 限 度 地 发 挥 赛 灵 思 器 件 优 势, 同 时 尽 可 能 节 约 时 间 和 精 力 Send Feedback 12

13 第 1 章 : 引 言 访 问 技 术 文 档 和 培 训 资 料 在 适 当 的 时 间 获 得 正 确 的 信 息 对 于 及 时 完 成 设 计 并 确 保 全 面 设 计 成 功 而 言 十 分 重 要 参 考 手 册 用 户 指 南 辅 导 资 料 和 视 频 能 够 帮 助 您 尽 快 掌 握 Vivado 设 计 套 件 找 到 所 需 的 具 体 信 息 会 有 一 定 难 度 本 节 为 您 列 出 了 一 些 技 术 文 档 和 培 训 来 源 使 用 文 档 导 航 器 Vivado 设 计 套 件 配 套 提 供 赛 灵 思 文 档 导 航 器, 用 来 访 问 和 管 理 全 套 赛 灵 思 软 硬 件 文 档 培 训 资 料 和 支 持 资 料 文 档 导 航 器 可 用 来 查 看 赛 灵 思 当 前 及 过 去 的 文 档 通 过 版 本 文 档 类 型 或 设 计 任 务 来 过 滤 文 档 显 示 内 容 结 合 搜 索 功 能 可 帮 助 您 快 速 找 到 正 确 的 信 息 文 档 导 航 器 会 扫 描 赛 灵 思 网 站, 以 检 测 并 提 供 文 档 更 新 更 新 目 录 功 能 可 提 醒 您 有 可 用 的 更 新 内 容, 并 提 供 有 关 文 档 的 具 体 信 息 赛 灵 思 建 议 您 在 出 现 提 醒 时 要 更 新 目 录, 以 使 其 保 持 最 新 您 可 以 为 指 定 的 文 档 建 立 本 地 文 档 目 录 并 对 其 进 行 管 理 文 档 导 航 器 有 一 个 设 计 中 心 视 图 (Design Hub View) 标 签 设 计 中 心 是 指 与 设 计 活 动 ( 例 如 应 用 设 计 约 束 综 合 与 实 现, 以 及 编 程 和 调 试 等 ) 相 关 的 文 档 集 文 档 和 视 频 被 组 织 在 每 个 设 计 中 心 内, 以 简 化 相 关 领 域 的 学 习 过 程 每 个 设 计 中 心 都 包 含 入 门 部 分 含 有 相 关 流 程 常 见 问 题 (FAQ) 的 支 持 资 源 部 分, 以 及 额 外 学 习 资 料 入 门 部 分 可 为 新 用 户 提 供 清 晰 的 入 门 指 导 对 已 经 熟 悉 该 流 程 的 用 户 来 说, 关 键 概 念 和 FAQ 部 分 可 能 是 他 们 比 较 感 兴 趣 的 内 容, 有 助 于 他 们 获 得 专 业 知 识 Send Feedback 13

14 第 1 章 : 引 言 图 1-5 : 赛 灵 思 文 档 导 航 器 - 目 录 查 看 器 访 问 快 速 入 门 视 频 教 程 赛 灵 思 快 速 入 门 视 频 教 程 提 供 有 关 Vivado 设 计 套 件 功 能 使 用 指 南 这 些 教 程 简 明 扼 要, 可 在 或 You Tube 上 观 看, 也 可 从 本 地 下 载 提 示 : 如 果 连 接 速 度 干 扰 观 看 质 量, 可 将 视 频 下 载 到 本 地 观 看 快 速 入 门 视 频 教 程 也 可 通 过 文 档 导 航 器 获 得, 如 图 1-6 使 用 文 档 导 航 器 访 问 快 速 入 门 视 频 教 程 所 示 Send Feedback 14

15 第 1 章 : 引 言 图 1-6 : 使 用 文 档 导 航 器 访 问 快 速 入 门 视 频 教 程 此 外, 还 提 供 一 套 完 整 的 交 互 式 软 件 实 验 这 些 实 验 中 包 含 实 例 设 计 和 执 行 具 体 设 计 任 务 的 分 步 指 导 您 也 可 以 从 网 站 上 的 Vivado 技 术 文 档 专 区 或 通 过 文 档 导 航 器 访 问 实 验 内 容 此 外, 您 还 可 以 注 册 由 赛 灵 思 或 其 合 作 伙 伴 提 供 的 正 式 培 训 课 程 Send Feedback 15

16 第 2 章 Vivado 设 计 套 件 流 程 Vivado 设 计 套 件 流 程 简 介 RTL 到 比 特 流 设 计 流 程 Vivado 设 计 套 件 可 用 于 不 同 类 型 的 设 计 根 据 不 同 设 计 类 型, 所 涉 及 的 Vivado 设 计 套 件 流 程 和 特 性 会 有 所 不 同 本 文 档 详 细 介 绍 基 于 HDL 的 FPGA 设 计 流 程 该 流 程 中 RTL 源 文 件 IP 核 或 第 三 方 综 合 网 表 通 过 实 现 步 骤 编 译 而 成, 其 结 果 随 后 可 用 于 编 程 和 调 试 FPGA 器 件 本 文 档 介 绍 流 程 中 用 来 定 义 RTL 源 文 件 和 XDC 约 束 的 正 确 设 计 技 术, 以 及 可 实 现 分 析 与 性 能 改 进 的 工 具 特 性 信 息 以 下 文 档 和 视 频 教 程 提 供 关 于 Vivado 设 计 套 件 流 程 的 更 多 信 息 : Vivado 设 计 套 件 快 速 入 门 视 频 :Vivado 设 计 流 程 简 介 Vivado 设 计 套 件 用 户 指 南 : 设 计 流 程 简 介 (UG892) [ 参 考 资 料 5] Vivado 设 计 套 件 教 程 : 设 计 流 程 简 介 (UG888) [ 参 考 资 料 26] 赛 灵 思 视 频 培 训 :UltraFast Vivado 设 计 方 法 其 它 流 程 如 本 节 所 述,Vivado 支 持 多 种 其 它 设 计 流 程 每 种 流 程 均 由 RTL 到 比 特 流 流 程 衍 生 而 来, 因 此 本 文 档 介 绍 的 建 议 与 技 术 同 样 适 用 于 这 些 衍 生 流 程 以 及 它 们 的 特 定 要 求 嵌 入 式 处 理 器 设 计 流 程 创 建 嵌 入 式 处 理 器 设 计 时 所 用 的 工 具 流 程 略 有 不 同 由 于 处 理 器 需 要 用 软 件 来 高 效 启 动 和 运 行, 因 此 软 件 设 计 流 程 必 须 与 硬 件 设 计 流 程 协 调 一 致 两 个 域 之 间 不 同 数 据 的 切 换 点 和 验 证 是 确 保 设 计 成 功 的 关 键 Send Feedback 16

17 第 2 章 :Vivado 设 计 套 件 流 程 创 建 嵌 入 式 处 理 器 硬 件 设 计 会 用 到 Vivado 设 计 套 件 的 IP 集 成 器 (IPI) 功 能 您 可 在 IP 集 成 器 环 境 中 实 例 化 配 置 和 组 装 处 理 器 内 核 及 其 接 口 该 工 具 能 执 行 基 于 规 则 的 连 接 功 能, 并 提 供 设 计 辅 助 设 计 通 过 实 现 完 成 编 译 后 被 导 出 到 赛 灵 思 软 件 开 发 套 件 (SDK) 中, 用 于 软 件 开 发 与 验 证 流 程 仿 真 与 调 试 功 能 使 您 可 以 跨 两 个 域 对 设 计 进 行 仿 真 和 验 证 以 下 资 料 介 绍 了 嵌 入 式 处 理 器 设 计 流 程 : Vivado 设 计 套 件 用 户 指 南 : 嵌 入 式 硬 件 设 计 (UG898) [ 参 考 资 料 10] Vivado 设 计 套 件 教 程 : 嵌 入 式 硬 件 设 计 (UG940) [ 参 考 资 料 29] Vivado 设 计 套 件 快 速 入 门 视 频 : 使 用 Vivado IP 集 成 器 进 行 设 计 Vivado 设 计 套 件 快 速 入 门 视 频 : 针 对 Zynq 使 用 Vivado IP 集 成 器 基 于 C 语 言 的 高 层 次 综 合 流 程 Vivado 设 计 套 件 中 的 基 于 C 语 言 的 高 层 次 综 合 (HLS) 工 具 允 许 您 使 用 C C++ System C 和 OpenCL 语 言 描 述 设 计 中 的 各 种 DSP 功 能 您 可 以 利 用 Vivado HLS 工 具 创 建 并 验 证 C 代 码 您 可 以 使 用 较 高 级 的 语 言 对 算 法 描 述 数 据 类 型 规 格 描 述 等 内 容 进 行 抽 象 您 可 以 使 用 不 同 参 数 进 行 多 次 实 验 以 优 化 性 能 和 面 积 您 可 以 结 合 使 用 HLS 和 基 于 C 语 言 的 测 试 平 台 仿 真 直 接 从 RTL 设 计 环 境 中 生 成 的 RTL HLS 还 能 自 动 使 用 多 个 最 优 化 的 代 码 库, 并 通 过 math.h 支 持 浮 点 算 法 C 到 RTL(Cto-RTL) 综 合 将 基 于 C 语 言 的 设 计 转 换 为 RTL 模 块, 该 模 块 可 与 设 计 其 它 部 分 一 起 打 包 和 实 现, 随 后 被 实 例 化 到 RTL 设 计 或 IP 集 成 器 中 以 下 资 料 介 绍 了 HLS 工 具 流 程 与 特 性 : Vivado 设 计 套 件 用 户 指 南 : 高 层 次 综 合 (UG902) [ 参 考 资 料 13] Vivado 设 计 套 件 教 程 : 高 层 次 综 合 (UG871) [ 参 考 资 料 25] Vivado 视 频 教 程 主 页 面 提 供 的 Vivado 高 层 次 综 合 视 频 教 程 [ 参 考 资 料 23] 部 分 重 配 置 设 计 流 程 部 分 重 配 置 功 能 允 许 设 计 的 一 个 或 多 个 部 分 在 器 件 启 动 运 行 中 重 新 配 置 该 流 程 对 设 计 过 程 要 求 相 当 严 格, 确 保 设 计 出 合 理 的 可 重 配 置 模 块, 以 便 在 部 分 比 特 流 升 级 过 程 中 实 现 无 毛 刺 运 行 可 重 配 置 模 块 需 要 合 理 规 划 以 确 保 其 能 够 按 计 划 运 行 并 实 现 最 高 性 能 这 包 括 减 少 可 重 配 置 模 块 的 Send Feedback 17

18 第 2 章 :Vivado 设 计 套 件 流 程 接 口 信 号 数 量 合 理 布 局 规 划 模 块 引 脚 布 局 并 符 合 特 定 的 部 分 重 配 置 DRC 还 必 须 正 确 规 划 器 件 的 编 程 方 法, 以 相 应 地 对 I/O 引 脚 进 行 分 配 以 下 资 料 介 绍 了 部 分 重 配 置 工 具 流 程 与 特 性 : Vivado 设 计 套 件 用 户 指 南 : 部 分 重 配 置 (UG909) [ 参 考 资 料 21] Vivado 设 计 套 件 教 程 : 部 分 重 配 置 (UG947) [ 参 考 资 料 30] Vivado 设 计 套 件 快 速 入 门 视 频 :Vivado 设 计 套 件 中 的 部 分 重 配 置 功 能 Vivado 设 计 套 件 使 用 模 型 在 您 使 用 Vivado 设 计 套 件 开 始 进 行 工 程 设 计 之 前, 首 先 应 该 决 定 您 希 望 如 何 管 理 设 计 以 及 如 何 与 Vivado 工 具 进 行 交 互 Vivado 设 计 套 件 可 根 据 您 的 偏 好 提 供 几 种 不 同 使 用 模 式 本 章 的 目 的 在 于 帮 助 您 掌 握 如 何 选 择 使 用 Vivado 工 具 的 方 法 这 些 决 策 包 括 : 您 是 脚 本 用 户, 还 是 倾 向 于 使 用 图 形 用 户 界 面 (GUI)? 您 是 想 在 设 计 工 程 中 配 置 IP 核, 还 是 希 望 为 多 个 工 程 中 的 可 重 用 IP 核 建 立 一 个 远 程 位 置? 您 是 否 希 望 工 具 使 用 工 程 来 管 理 设 计 的 源 文 件 状 态 和 结 果? 您 是 否 希 望 采 用 源 文 件 控 制 系 统 进 行 版 本 控 制? 您 是 否 使 用 第 三 方 工 具 进 行 综 合 或 仿 真? 建 议 : 在 使 用 Vivado 工 具 开 始 您 的 首 个 FPGA 设 计 之 前, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 设 计 流 程 简 介 (UG892) [ 参 考 资 料 5] 理 解 工 程 与 非 工 程 软 件 使 用 模 式 Vivado 设 计 套 件 允 许 您 根 据 自 己 的 偏 好 使 用 不 同 方 法 运 行 工 具 您 必 须 事 先 决 定 在 处 理 设 计 时 偏 爱 采 用 哪 种 方 式 与 Vivado 设 计 套 件 交 互 这 些 决 策 包 括 : (1) 是 使 用 Vivado 设 计 套 件 工 程 自 动 管 理 设 计 源 文 件 设 计 配 置 和 结 果, 还 是 (2) 自 己 管 理 Send Feedback 18

19 第 2 章 :Vivado 设 计 套 件 流 程 (1) 是 使 用 Tcl 脚 本 流 程, 还 是 (2) 使 用 图 形 化 Vivado 集 成 设 计 环 境 (IDE) 交 互 式 处 理 设 计 注 意 : 可 以 使 用 Tcl 脚 本 流 程, 但 在 必 要 时 仍 可 使 用 IDE 来 执 行 设 计 分 析 或 约 束 定 义 等 设 计 任 务 使 用 工 程 模 式 您 可 以 使 用 基 于 工 程 的 方 法 ( 工 程 模 式 ) 自 动 管 理 您 的 设 计 流 程 和 设 计 数 据 在 工 程 模 式 下, 您 选 择 远 程 文 件 源 或 将 它 们 本 地 复 制 到 工 程 中 如 果 进 行 本 地 复 制, 会 在 磁 盘 上 创 建 一 个 目 录 结 构 以 管 理 设 计 源 文 件 的 本 地 副 本 这 个 工 程 结 构 还 可 以 管 理 运 行 结 果 并 追 踪 工 程 状 态 工 程 基 础 结 构 可 用 来 管 理 自 动 综 合 与 实 现 过 程 并 追 踪 运 行 状 态 例 如 : 如 果 您 在 综 合 后 修 改 HDL 源 文 件,Vivado 工 具 会 提 示 您 重 新 综 合 在 布 线 完 成 后, 工 具 会 自 动 生 成 时 序 和 功 耗 报 告 在 Vivado IDE 中 可 一 键 式 运 行 整 个 设 计 流 程 有 些 操 作 系 统 ( 例 如 Microsoft Windows) 会 限 制 用 户 命 名 文 件 ( 及 其 路 径 ) 所 用 的 字 符 数 量 ( 例 如 最 多 256 个 ) 如 果 您 的 操 作 系 统 有 此 类 限 制, 赛 灵 思 建 议 将 工 程 放 在 离 磁 盘 驱 动 器 根 目 录 较 近 的 位 置 提 示 : 工 程 模 式 的 关 键 优 势 在 于 Vivado 工 具 可 管 理 整 个 设 计 流 程, 包 括 工 程 文 件 管 理 报 告 生 成 数 据 存 储 等 使 用 非 工 程 模 式 在 非 工 程 模 式 下, 您 需 要 自 己 管 理 设 计 源 文 件 和 设 计 过 程 源 文 件 只 能 从 当 前 位 置 访 问, 不 能 将 其 复 制 到 其 它 位 置 随 着 设 计 流 程 的 推 进, 设 计 结 果 保 留 在 已 分 配 给 Vivado 工 具 进 程 的 机 器 内 存 中 每 个 设 计 步 骤 都 可 通 过 Tcl 命 令 独 立 控 制 例 如 : 如 果 您 在 综 合 后 修 改 了 一 个 HDL 文 件, 那 么 您 必 须 记 得 重 新 运 行 综 合 如 果 您 在 布 线 后 需 要 时 序 报 告, 那 就 需 要 明 确 生 成 时 序 报 告 使 用 Tcl 命 令 来 设 置 设 计 参 数 和 实 现 选 项 您 可 使 用 Tcl 在 设 计 过 程 的 任 何 阶 段 保 存 设 计 检 查 点 (DCP) 并 生 成 报 告 Send Feedback 19

20 第 2 章 :Vivado 设 计 套 件 流 程 注 意 : 设 计 检 查 点 (DCP) 是 指 磁 盘 上 保 留 存 储 器 内 设 计 准 确 表 示 的 文 件, 可 在 每 个 步 骤 后 ( 综 合 后 优 化 后 布 局 后 ) 存 储 可 将 检 查 点 读 回 到 Vivado 工 具 中, 用 以 恢 复 设 计 状 态 您 可 以 在 设 计 过 程 中 随 时 打 开 Vivado IDE, 加 载 上 述 这 些 设 计 检 查 点 (DCP) 以 进 行 交 互 式 设 计 分 析 和 约 束 分 配 由 于 您 查 看 的 是 存 储 器 中 的 动 态 设 计, 因 此 任 何 设 计 修 改 都 会 在 设 计 流 程 中 自 动 向 前 传 递 您 也 可 以 将 更 新 内 容 保 存 到 新 的 约 束 文 件 或 设 计 检 查 点 中 供 以 后 运 行 注 意 : 工 程 模 式 也 提 供 有 以 上 大 部 分 功 能 但 有 些 工 程 模 式 功 能 在 非 工 程 模 式 下 并 不 提 供, 包 括 : 源 文 件 与 运 行 结 果 管 理 保 存 设 计 与 工 具 配 置 设 计 状 态 以 及 IP 集 成 换 句 话 说, 您 可 以 使 用 非 工 程 模 式 跳 过 某 些 过 程, 从 而 节 省 存 储 器 磁 盘 空 间 等 提 示 : 两 种 模 式 都 能 通 过 Tcl 脚 本 批 处 理 模 式 运 行, 或 者 在 Vivado IDE 中 交 互 运 行 使 用 Tcl 可 以 使 用 Tcl 命 令 运 行 所 有 流 程 既 可 以 使 用 单 独 的 Tcl 命 令 也 可 使 用 Tcl 脚 本 您 可 以 使 用 脚 本 运 行 整 个 设 计 流 程 ( 包 括 设 计 分 析 和 报 告 ) 或 者 只 运 行 流 程 的 某 些 部 分 如 果 您 倾 向 于 直 接 使 用 Tcl, 可 以 通 过 下 面 的 任 意 接 口 利 用 Tcl 命 令 与 设 计 交 互 : Vivado IDE 外 部 的 Vivado 设 计 套 件 Tcl shell Vivado IDE 底 部 的 Tcl 控 制 台 如 需 了 解 有 关 Tcl 和 Tcl 脚 本 使 用 方 面 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 Tcl 脚 本 (UG894) [ 参 考 资 料 7] 对 于 介 绍 如 何 在 Vivado 工 具 中 使 用 Tcl 的 入 门 级 教 程, 敬 请 参 阅 Vivado 设 计 套 件 教 程 : 设 计 流 程 简 介 (UG888) [ 参 考 资 料 26] 当 使 用 Tcl 时, 您 仍 可 利 用 Vivado IDE 的 交 互 式 GUI 分 析 和 约 束 定 义 功 能 您 既 可 在 设 计 周 期 的 任 意 阶 段 在 Vivado IDE 中 打 开 设 计, 也 可 随 时 保 存 设 计 检 查 点, 供 以 后 在 Vivado IDE 中 打 开 检 查 点 使 用 Vivado 集 成 设 计 环 境 (IDE) 在 工 程 模 式 和 非 工 程 模 式 下 均 可 使 用 Vivado 集 成 设 计 环 境 (IDE) IDE 中 显 示 的 功 能 因 调 用 IDE 的 方 式 和 时 间 而 异 当 使 用 工 程 模 式 时,Vivado IDE 提 供 一 个 用 于 组 装 实 现 和 验 证 设 计 与 IP 的 界 面 此 外,Vivado IDE 还 支 持 可 管 理 所 有 设 计 源 文 件 配 置 和 结 果 的 按 钮 式 设 计 流 程 Send Feedback 20

21 第 2 章 :Vivado 设 计 套 件 流 程 Vivado IDE 引 入 了 在 内 存 中 打 开 设 计 的 概 念, 以 便 在 整 个 设 计 过 程 中 实 现 分 析 与 约 束 分 配 打 开 设 计 时, 允 许 在 设 计 流 程 的 特 定 阶 段 加 载 设 计 网 表, 向 设 计 分 配 约 束, 并 将 设 计 应 用 于 目 标 器 件 这 个 过 程 中, 您 可 以 在 每 个 设 计 阶 段 查 看 设 计 情 况 并 与 设 计 进 行 互 动 当 使 用 非 工 程 模 式 时, 从 源 文 件 的 当 前 位 置 读 取 源 文 件, 同 时 使 用 Tcl 命 令 或 脚 本 手 动 处 理 整 个 流 程 所 有 源 文 件 版 本 控 制 设 计 状 态 报 告 以 及 输 出 文 件 的 生 成 都 由 用 户 使 用 Tcl 命 令 或 脚 本 来 完 成 有 些 用 户 偏 爱 非 工 程 模 式, 因 为 这 样 能 够 明 确 地 控 制 流 程 和 所 有 输 入 输 出 文 件, 从 而 直 接 控 制 工 具 流 程 工 程 模 式 则 能 为 用 户 提 供 自 动 化 的 流 程 控 制, 便 于 在 IDE 中 使 用 无 论 使 用 哪 种 模 式, 您 都 可 以 在 RTL 细 化 综 合 或 实 现 后 打 开 设 计 进 行 分 析 这 样 您 就 可 以 在 实 现 后 对 约 束 逻 辑 或 器 件 配 置 以 及 实 现 结 果 进 行 修 改 见 图 2-1 在 Vivado IDE 中 打 开 实 现 后 的 设 计 您 可 以 保 存 所 做 的 任 何 修 改 图 2-1 : 在 Vivado IDE 中 打 开 实 现 后 的 设 计 Send Feedback 21

22 第 2 章 :Vivado 设 计 套 件 流 程 如 需 了 解 有 关 Vivado IDE 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 Vivado IDE (UG893) [ 参 考 资 料 6] 配 置 和 管 理 IP 本 章 节 将 探 讨 如 何 配 置 使 用 和 管 理 知 识 产 权 (IP) 使 用 Vivado IP Catalog 配 置 IP Vivado IDE 的 IP Catalog 功 能 是 配 置 IP 的 最 佳 方 法, 便 于 浏 览 配 置 和 生 成 输 出 结 果, 以 及 进 行 验 证 目 录 仅 包 含 给 定 IP 的 最 新 版 本 利 用 Vivado IDE 的 IP Catalog 和 配 置 向 导 可 简 化 整 个 配 置 过 程 您 也 可 以 从 IP Catalog 中 直 接 访 问 IP 文 档 这 里 有 类 似 于 Tcl 的 脚 本 命 令, 但 并 非 所 有 用 于 IP 配 置 的 Tcl 参 数 都 记 录 在 内 如 果 需 要 编 写 脚 本, 在 用 IDE 配 置 IP 和 生 成 输 出 结 果 后, 可 使 用 Vivado 日 志 文 件 创 建 脚 本 使 用 配 置 向 导 对 IP 进 行 定 义 后, 会 出 现 多 个 选 项, 用 以 生 成 进 行 实 现 和 验 证 所 需 的 输 出 结 果 IP 可 进 行 配 置, 这 样 在 导 入 设 计 工 程 时, 就 能 作 为 一 组 HDL 源 文 件 和 约 束 文 件, 或 者 作 为 包 含 综 合 网 表 和 约 束 的 设 计 检 查 点 文 件 (.dcp) 该 选 项 在 生 成 IP 输 出 结 果 时 设 置 IP 的 默 认 操 作 是 对 独 立 IP 进 行 综 合, 并 将 设 计 检 查 点 作 为 实 现 时 的 源 文 件 这 样 就 可 以 验 证 和 优 化 独 立 IP 这 样 还 有 可 能 缩 短 顶 层 设 计 综 合 的 运 行 时 间, 但 会 阻 止 跨 IP 边 界 的 综 合 优 化 由 于 是 针 对 特 定 的 逻 辑 器 件 生 成 IP, 因 此 用 描 述 性 的 名 称 来 命 名 IP 便 于 以 后 识 别 如 需 了 解 更 多 信 息, 敬 请 参 阅 : 第 29 页 更 新 IP, 第 27 页 管 理 IP,( 介 绍 用 于 存 储 和 使 用 IP 配 置 的 可 用 选 项 ) Vivado 设 计 套 件 用 户 指 南 : 采 用 IP 设 计 (UG896) [ 参 考 资 料 9] Send Feedback 22

23 第 2 章 :Vivado 设 计 套 件 流 程 生 成 IP 输 出 结 果 创 建 IP 输 出 结 果, 这 样 综 合 仿 真 和 实 现 工 具 就 可 以 使 用 特 定 IP 配 置 在 生 成 输 出 结 果 时, 建 立 目 录 结 构 用 以 存 储 各 种 IP 输 出 结 果 文 件 夹 和 文 件 要 一 目 了 然, 并 保 持 完 整 提 供 三 个 选 项 用 来 创 建 和 管 理 IP 的 相 关 数 据 选 项 的 选 择 会 响 应 设 计 的 实 现 方 式 用 主 IP 配 置 文 件 (.xci) 重 新 生 成 IP 输 出 结 果, 只 要 使 用 的 软 件 版 本 支 持 特 定 的 IP 版 本 即 可 ( 一 般 是 最 初 用 于 配 置 IP 的 版 本 ) 该 文 件 根 据 IP 配 置 来 创 建 您 可 以 添 加 一 个.xci 文 件 作 为 源 文 件, 在 运 行 综 合 之 前 输 出 结 果 就 会 自 动 生 成 生 成 RTL 和 XDC IP 源 文 件 除 非 存 在 IP 的 设 计 检 查 点 (DCP), 否 则 在 综 合 和 实 现 顶 层 设 计 的 过 程 中 将 使 用 这 些 RTL 源 文 件 这 些 文 件 在 生 成 输 出 结 果 时 创 建 创 建 一 个 综 合 设 计 检 查 点 (.dcp) 文 件 ; 该 文 件 包 含 一 个 综 合 网 表 以 及 IP 约 束, 用 于 实 现 过 程 选 择 生 成 输 出 结 果 对 话 框 中 的 生 成 综 合 检 查 点 (.dcp) 选 项 可 创 建 该 文 件 设 置 该 选 项 后, 在 生 成 输 出 结 果 时 会 对 IP 进 行 综 合 这 种 综 合 方 法 称 为 无 关 联 (OOC) 综 合 这 是 为 大 部 分 IP 核 生 成 输 出 结 果 时 的 默 认 行 为 提 示 : 您 还 可 以 将 OOC 综 合 结 果 用 于 实 现 步 骤 ( 进 行 模 块 分 析 或 保 存 时 序 ) 实 现 OOC 模 块 需 要 更 多 约 束 ( 例 如 HD.CLK_SRC) 来 确 保 精 确 的 时 序 结 果 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 层 级 设 计 (UG905) [ 参 考 资 料 16] Vivado 可 创 建 一 系 列 其 它 输 出 结 果, 以 支 持 Vivado 和 第 三 方 综 合 与 仿 真 工 具 也 会 根 据 IP 不 同 类 型 创 建 其 它 输 出 结 果, 例 如 测 试 平 台 C 模 型 以 及 实 例 设 计 等 Vivado 会 针 对 用 户 定 义 的 每 个 IP 生 成 所 有 输 出 结 果 ( 除 了 实 例 设 计 ) 您 也 可 以 创 建 设 计 检 查 点 和 实 例 设 计 这 样 做 可 以 提 供 完 整 的 IP 展 示, 并 可 将 其 进 行 存 档 或 存 放 在 版 本 控 制 系 统 中 如 果 未 来 Vivado IDE 版 本 不 包 含 该 IP, 或 者 IP 发 生 了 不 应 有 的 变 化 ( 例 如 接 口 变 化 ), 这 时 您 会 获 得 仿 真 所 需 的 所 有 输 出 结 果, 并 可 利 用 未 来 Vivado 软 件 版 本 进 行 综 合 与 实 现 Send Feedback 23

24 第 2 章 :Vivado 设 计 套 件 流 程 网 表 选 项 所 有 Vivado IP 和 IP 子 系 统 都 必 须 利 用 Vivado 设 计 套 件 的 综 合 工 具 进 行 综 合, 因 为 有 些 IP 核 会 作 为 加 密 的 RTL 提 供 此 外,IP 可 能 包 含 参 考 IP 内 部 对 象 的 约 束 XDC 约 束 以 详 细 的 名 称 为 基 础 其 它 综 合 工 具 可 能 采 用 不 同 的 详 细 视 图 命 名 风 格, 因 此 不 一 定 能 找 到 这 些 对 象 加 密 的 RTL 或 参 考 IP 内 部 对 象 的 IP 核 不 支 持 用 第 三 方 综 合 工 具 IP 和 IP 子 系 统 在 设 计 中 的 使 用 方 法 有 两 种 : 要 么 作 为 包 含 网 表 和 约 束 的 综 合 后 检 查 点 DCP( 自 下 而 上 ); 或 者 作 为 与 用 户 RTL 一 起 综 合 的 RTL 源 ( 自 上 而 下 ) 两 种 方 法 各 有 其 优 缺 点, 如 下 所 示 自 下 而 上 综 合 在 默 认 Vivado IP 流 程 中, 对 IP 核 进 行 无 关 联 (OOC) 综 合, 既 可 单 独 综 合, 也 可 综 合 设 计 中 的 所 有 IP 每 个 IP 核 在 流 程 中 都 使 用 默 认 的 时 钟 信 息 用 户 设 计 或 其 它 任 何 位 置 都 不 提 供 时 钟 IP 可 自 行 进 行 综 合 这 样,IP 可 一 次 性 实 现 综 合, 并 以 DCP 的 形 式 保 存 DCP 包 含 一 个 网 表 及 相 关 约 束 设 计 的 用 户 部 分 完 成 综 合 后,DCP 会 在 实 现 过 程 中 被 链 接 进 去 这 样 能 显 著 缩 短 开 发 中 的 运 行 时 间 当 链 接 到 设 计 剩 余 部 分 后, 自 下 而 上 流 程 中 IP 所 用 的 默 认 时 钟 被 设 计 中 使 用 的 实 际 顶 层 时 钟 所 取 代 自 下 而 上 综 合 可 在 工 程 模 式 下 通 过 GUI 或 Tcl 脚 本 的 方 式 直 接 完 成 选 择 IP 核, 再 以 并 行 方 式 运 行 综 合 的 这 种 操 作 非 常 简 便 而 且 也 很 容 易 使 所 有 或 部 分 IP 核 返 回 到 常 规 的 自 上 而 下 流 程 您 也 可 以 在 Managed IP 流 程 中 进 行 自 下 而 上 综 合 您 可 从 IP 库 中 选 择 需 要 综 合 的 IP 核 并 创 建 一 个 网 表, 由 此 生 成 一 个 包 含 该 网 表 和 IP XDC 的 设 计 检 查 点 (DCP) 在 设 计 过 程 的 初 期 阶 段, 应 考 虑 使 用 自 下 而 上 的 方 法 这 样, 即 使 设 计 中 有 些 部 分 不 断 修 改, 也 不 用 每 次 都 对 IP 核 进 行 综 合 您 还 可 以 单 独 优 化 和 验 证 IP, 不 用 为 达 到 所 需 的 性 能 目 标 而 每 次 都 重 新 综 合 如 果 IP 或 IP 子 系 统 目 录 中 有 一 个 DCP, 其 将 用 作 设 计 中 默 认 的 实 现 源 文 件 重 要 提 示 :IP 子 系 统 不 会 默 认 使 用 自 下 而 上 的 方 案 您 既 可 以 在 生 成 模 块 设 计 的 输 出 结 果 时 创 建 DCP, 也 可 以 将 它 设 置 为 无 关 联 (OOC) 模 块 以 便 在 源 文 件 窗 口 中 单 独 综 合 Send Feedback 24

25 第 2 章 :Vivado 设 计 套 件 流 程 自 上 而 下 综 合 也 可 以 不 用 DCP 对 IP 进 行 配 置, 在 综 合 过 程 中, 整 个 设 计 采 用 IP HDL 进 行 综 合 这 样 给 Vivado 工 具 优 化 整 个 设 计 提 供 了 最 大 灵 活 性, 因 为 其 能 够 优 化 整 个 IP 层 级 缺 点 是 设 计 每 次 重 新 综 合 时, 所 有 的 IP 核 即 使 没 有 被 修 改 也 要 重 新 综 合 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9] IP 仿 真 与 IP 同 时 提 供 的 还 包 括 可 被 Vivado IDE 使 用 的 仿 真 源 文 件 这 些 源 文 件 可 以 是 行 为 模 型 纯 文 本 可 综 合 源 文 件, 也 可 以 是 VHDL 或 Verilog 等 这 样 的 加 密 可 综 合 源 文 件 对 于 提 供 仿 真 源 文 件 这 样 的 加 密 文 件 的 IP 而 言,Vivado IDE 可 以 为 所 选 仿 真 器 管 理 仿 真 源 文 件 的 编 译 如 需 了 解 有 关 Vivado 仿 真 使 用 方 面 的 信 息, 敬 请 参 阅 第 40 页 的 使 用 Vivado 仿 真 如 需 了 解 使 用 第 三 方 仿 真 器 方 面 的 信 息, 敬 请 参 阅 第 46 页 的 使 用 第 三 方 综 合 与 仿 真 工 具 您 可 使 用 第 三 方 仿 真 器 对 Vivado IDE 配 套 提 供 的 赛 灵 思 IP 进 行 行 为 仿 真 或 网 表 仿 真 Vivado IDE 中 的 大 部 分 IP 核 都 提 供 采 用 业 界 标 准 电 子 设 计 知 识 产 权 (IP) 的 加 密 与 管 理 IEEE 标 准 推 荐 实 施 规 程 (IEEE P1735 标 准 ) 进 行 加 密 的 HDL 文 件 所 有 主 要 仿 真 器 都 支 持 该 标 准 用 第 三 方 仿 真 器 进 行 行 为 仿 真 之 前, 您 必 须 确 定 仿 真 需 要 哪 些 文 件, 以 及 这 些 文 件 属 于 哪 个 相 关 的 库 同 样, 在 执 行 综 合 后 仿 真 之 前, 您 必 须 先 创 建 一 个 结 构 化 仿 真 模 型 (EDIF Verilog VHDL) 您 可 使 用 以 下 命 令 为 特 定 IP 生 成 仿 真 脚 本 : launch_modelsim -scripts_only -of_objects [get_files <ip_name>.xci] 或 export_simulation -simulator <arg> -of_objects [get_files <ip_name>.xci] 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9] Send Feedback 25

26 第 2 章 :Vivado 设 计 套 件 流 程 使 用 实 例 设 计 验 证 IP Vivado 设 计 套 件 IP Catalog 中 的 很 多 赛 灵 思 IP 还 提 供 一 个 实 例 设 计 要 确 定 IP 是 否 提 供 实 例 设 计, 您 可 从 Manage IP 或 RTL 工 程 的 IP Sources 区 域 选 择 IP, 并 查 看 Open IP Example Design 是 否 可 选, 如 图 2-2 所 示 图 2-2 : 打 开 实 例 设 计 实 例 设 计 使 用 用 户 自 定 义 IP 您 可 以 参 考 实 例 设 计, 了 解 IP 自 定 义 的 正 确 使 用 与 连 接 方 法 有 些 IP 在 实 例 设 计 中 提 供 测 试 平 台, 可 用 来 验 证 自 定 义 IP 的 功 能 性 您 可 以 运 行 行 为 仿 真 综 合 后 仿 真 或 实 现 后 仿 真 您 可 以 运 行 功 能 仿 真 或 时 序 仿 真 为 了 执 行 时 序 / 网 表 仿 真, 您 需 要 综 合 / 实 现 实 例 设 计 如 需 了 解 有 关 仿 真 IP 的 具 体 信 息, 敬 请 参 考 IP 的 产 品 指 南 如 需 了 解 有 关 仿 真 的 更 多 详 情, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) [ 参 考 资 料 11] 如 需 了 解 使 用 实 例 设 计 以 及 IP 输 出 结 果 方 面 的 更 多 详 情, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9] 如 果 您 的 设 计 中 有 存 储 器 接 口 生 成 器 (MIG) IP, 请 参 考 如 下 资 料 : 如 需 了 解 仿 真 的 详 情, 敬 请 参 阅 基 于 LogiCORE IP UltraScale 架 构 的 FPGA 存 储 器 接 口 解 决 方 案 产 品 指 南 (PG150) [ 参 考 资 料 53] 如 需 了 解 MicroBlaze 设 计 的 MIG 仿 真 实 例, 敬 请 参 阅 参 考 系 统 : 使 用 IP 集 成 器 的 Kintex-7 MicroBlaze 系 统 仿 真 (XAPP1180) [ 参 考 资 料 51] Send Feedback 26

27 第 2 章 :Vivado 设 计 套 件 流 程 IP 约 束 许 多 IP 核 都 包 含 综 合 与 实 现 过 程 中 所 使 用 的 XDC 约 束 如 果 通 过 定 制 过 程 中 创 建 的 XCI 来 使 用 IP, 那 么 不 论 是 工 程 模 式 还 是 非 工 程 模 式 都 会 自 动 使 用 这 些 约 束 约 束 也 在 自 下 而 上 流 程 中 打 包, 并 与 设 计 检 查 点 (DCP) 中 的 网 表 一 起 提 供 建 议 通 过 上 述 方 法 为 IP 核 提 供 约 束 手 动 修 改 IP 约 束 使 其 可 用 于 顶 层, 这 项 工 作 非 常 繁 琐 且 容 易 出 错 如 果 您 决 定 在 设 计 中 为 IP 使 用 EDIF 或 Verilog 网 表 或 较 旧 的 NGC( 而 非 自 上 而 下 或 自 下 而 上 的 IP 综 合 流 程 ), 那 么 必 须 为 IP 提 供 约 束 (xdc 格 式 ) 很 多 IP 核 都 参 考 约 束 中 的 输 入 时 钟 这 些 时 钟 可 以 来 自 顶 层 用 户, 甚 至 可 来 自 设 计 中 的 其 它 IP 核 默 认 情 况 下,Vivado 工 具 会 在 初 期 处 理 IP 时 钟 创 建 和 用 户 顶 层 时 钟 创 建 工 作 该 过 程 会 将 时 钟 提 供 给 需 要 它 们 的 IP 核 管 理 IP 赛 灵 思 建 议 您 为 设 计 工 程 中 所 使 用 的 IP 核 创 建 工 程 专 用 存 储 位 置 由 于 IP 可 从 任 何 使 用 该 IP 的 设 计 工 程 中 进 行 重 新 配 置, 因 此 创 建 设 计 专 用 IP 能 防 止 被 其 他 设 计 人 员 进 行 不 必 要 的 更 新 这 样 还 便 于 与 版 本 控 制 系 统 进 行 交 互 将 IP 位 置 设 定 在 Vivado 设 计 工 程 外 部 的 目 录 中, 这 样 可 将 IP 配 置 文 件 (.xci) 以 及 各 种 IP 输 出 结 果 ( 包 括 RTL 源 文 件 和 约 束 ) 写 到 目 录 结 构 中 应 遵 守 如 下 指 南 : 单 独 存 储 每 个 IP 不 要 将 多 个 IP 导 入 一 个 目 录 检 查 版 本 控 制 系 统 内 外 部 的 IP Catalog 结 构 完 整 性 设 计 一 个 能 区 分 器 件 类 型 IP 类 型 及 其 它 元 素 的 IP 存 储 目 录 结 构 在 IP Catalog 外 面 存 储 实 例 设 计, 确 保 不 受 IP 更 新 影 响 可 通 过 两 种 方 法 来 配 置 存 储 和 管 理 Vivado IP 在 工 程 模 式 和 非 工 程 模 式 下,IP 管 理 有 所 不 同 在 中 央 存 储 库 中 自 定 义 和 维 护 IP IP 可 在 利 用 Vivado Manage IP 功 能 创 建 的 IP Location 工 程 中 进 行 远 程 配 置 和 存 储 IP Location 工 程 可 利 用 IDE 同 时 配 置 和 管 理 任 意 数 量 的 IP 当 与 源 文 件 版 本 控 制 系 统 交 互 时 也 能 使 用 这 种 方 法, 但 也 要 求 对 IP 工 程 进 行 管 理 Send Feedback 27

28 第 2 章 :Vivado 设 计 套 件 流 程 IP 可 在 Vivado 设 计 工 程 内 部 进 行 配 置 和 存 储 IP 输 出 结 果 可 存 放 在 IP 目 录 结 构 中 的 工 程 目 录 结 构 的 内 外 部 将 IP 输 出 结 果 存 储 在 设 计 工 程 中 能 为 整 个 设 计 建 立 独 立 的 实 体, 便 于 打 包 和 共 享 如 果 设 计 采 用 独 特 的 IP 配 置 且 不 希 望 将 配 置 存 放 在 IP 资 源 库 中, 也 适 合 使 用 该 方 法 基 于 工 程 的 IP 输 出 结 果 还 可 以 远 程 存 储, 以 便 源 文 件 控 制 系 统 访 问 以 及 多 个 工 程 同 时 使 用 两 种 方 法 都 有 各 自 的 优 缺 点, 下 面 章 节 将 详 细 介 绍 创 建 远 程 IP Vivado 设 计 套 件 使 IP 能 够 单 独 配 置 和 远 程 配 置, 以 便 在 工 程 模 式 和 非 工 程 模 式 中 使 用 如 上 面 讨 论, 当 与 源 文 件 版 本 控 制 系 统 进 行 交 互 时, 这 通 常 是 最 佳 方 法 当 配 置 任 何 Vivado IP 时, 您 都 可 以 定 义 IP 位 置 见 图 2-3 为 单 独 IP 定 义 远 程 位 置 图 2-3 : 为 单 独 IP 定 义 远 程 位 置 将 IP 位 置 设 置 在 Vivado 设 计 工 程 之 外 的 目 录 下, 这 样 可 将 IP 配 置 文 件 (.xci) 以 及 各 种 IP 输 出 结 果 ( 包 括 RTL 源 文 件 和 约 束 ) 写 到 目 录 结 构 中 远 程 IP 可 被 任 意 数 量 的 设 计 工 程 使 用 但 是, 由 于 Vivado IDE 允 许 对 远 程 IP 进 行 修 改 和 版 本 更 新, 因 此 在 修 改 或 更 新 IP 的 时 候 必 须 格 外 注 意, 因 为 这 可 能 会 影 响 其 他 用 户 设 计 专 用 IP 位 置 就 能 避 免 其 他 设 计 人 员 不 必 要 的 修 改 集 中 式 IP 对 于 集 中 式 IP 管 理 而 言, 定 制 IP 及 其 输 出 结 果 存 储 在 一 个 中 央 远 程 ( 对 于 当 前 设 计 ) 位 置 IP 既 可 在 工 程 模 式 也 可 在 非 工 程 模 式 下 使 用, 既 可 以 用 Tcl 脚 本 建 立, 也 可 以 包 含 在 工 程 中 Send Feedback 28

29 第 2 章 :Vivado 设 计 套 件 流 程 管 理 IP 功 能 ( 在 Vivado 设 计 套 件 入 门 页 面 ) 可 用 于 创 建 IP 位 置 该 功 能 允 许 IP 设 计 人 员 从 IP Catalog 中 选 择 想 要 的 IP 核 并 进 行 配 置, 然 后 生 成 输 出 结 果 该 环 境 还 允 许 您 通 过 综 合 和 实 现 以 及 行 为 和 结 构 仿 真 来 验 证 IP 这 些 IP 位 置 使 用 户 能 够 利 用 IDE 的 交 互 环 境 来 配 置 验 证 和 存 储 多 个 IP 核 您 可 利 用 IDE 并 使 用 IP Catalog 源 文 件 管 理 和 分 析 进 行 IP 配 置, 同 时, 运 行 基 础 架 构 可 验 证 并 存 储 每 个 IP 核 的 结 果 基 于 工 程 的 IP 在 工 程 中 利 用 IP 目 录 自 定 义 和 添 加 IP 很 简 单 工 程 的 内 容 完 备, 便 于 在 一 个 位 置 进 行 管 理 当 IP 不 用 于 多 个 工 程 中 或 不 被 多 个 人 使 用 时, 这 是 一 种 简 便 易 行 的 方 案 IP 仅 作 为 工 程 的 一 部 分, 与 所 有 其 它 源 文 件 ( 如 RTL 和 运 行 结 果 ) 一 同 管 理 给 出 工 程 的 所 有 功 能, 包 括 运 行 基 础 架 构 日 志 和 报 告 的 自 动 创 建, 以 及 探 索 与 可 视 化 升 级 IP 如 果 IP 需 在 以 后 的 Vivado 设 计 套 件 版 本 中 更 新, 赛 灵 思 建 议 您 对 其 进 行 升 级 当 使 用 Vivado IDE 时, 您 可 经 常 升 级 到 最 新 IP 变 更 记 录 会 描 述 升 级 详 情 如 果 您 不 希 望 升 级, 那 么 必 须 生 成 和 存 档 IP 的 所 有 输 出 结 果 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 27 页 的 管 理 IP 这 些 保 存 的 目 标 文 档 可 以 使 用, 但 是 无 法 利 用 新 版 本 的 Vivado 设 计 套 件 进 行 重 新 定 制 化, 也 无 法 在 最 新 的 Vivado IDE 版 本 中 创 建 更 多 输 出 结 果 如 需 了 解 IP 子 系 统 升 级 方 面 的 内 容, 敬 请 参 阅 第 33 页 的 升 级 IP 子 系 统 用 IP 集 成 器 创 建 与 管 理 IP 子 系 统 本 章 节 将 探 讨 如 何 创 建 和 管 理 知 识 产 权 (IP) 子 系 统 Vivado IP 子 系 统 Vivado 设 计 套 件 的 IP 集 成 器 功 能 可 用 来 创 建 模 块 设 计 (.bd) 这 些 模 块 设 计 本 质 上 属 于 IP 子 系 统, 包 含 任 意 数 量 的 用 户 配 置 的 IP 和 互 联 如 需 了 解 打 包 和 使 用 定 制 IP 以 及 AXI 接 口 方 面 的 内 Send Feedback 29

30 第 2 章 :Vivado 设 计 套 件 流 程 容, 敬 请 参 阅 打 包 定 制 IP 和 IP 子 系 统 和 创 建 定 制 接 口 IP 集 成 器 是 用 于 连 接 IP 核 的 接 口, 以 创 建 特 定 领 域 子 系 统 和 设 计, 包 括 采 用 Zynq All Programmable SoC 和 MicroBlaze 处 理 器 的 嵌 入 式 处 理 器 设 计, 用 来 实 例 化 来 自 Vivado HLS 的 高 层 次 综 合 模 块 来 自 系 统 生 成 器 的 DSP 模 块 以 及 用 Package IP 功 能 实 现 的 用 户 自 定 义 IP 如 需 了 解 更 多 信 息, 敬 请 参 阅 以 下 资 源 : Vivado 设 计 套 件 用 户 指 南 : 使 用 IP 集 成 器 设 计 IP 子 系 统 (UG994)[ 参 考 资 料 22] Vivado 设 计 套 件 快 速 入 门 视 频 教 程 : 用 Vivado IP 集 成 器 进 行 设 计 使 用 Vivado IDE 构 建 IP 子 系 统 IP 子 系 统 的 最 佳 配 置 方 法 是 使 用 Vivado IDE 的 IP 集 成 器 IP 集 成 器 的 互 动 模 块 设 计 功 能 可 简 化 IP 的 配 置 和 组 装 工 作 如 需 编 写 脚 本, 您 可 以 在 利 用 IDE 创 建 子 系 统 后 使 用 Vivado 日 志 文 件 IP 集 成 器 可 以 创 建 Tcl 脚 本, 以 便 在 内 存 中 重 新 创 建 当 前 的 模 块 设 计 您 还 可 以 综 合 利 用 GUI Tcl shell 命 令 和 脚 本 来 创 建 IP 子 系 统 IP 子 系 统 可 进 行 配 置, 这 样 导 入 设 计 工 程 中 时, 可 作 为 一 组 HDL 源 文 件 和 约 束 文 件 ( 默 认 ), 或 作 为 包 含 综 合 网 表 和 整 个 子 系 统 约 束 的 设 计 检 查 点 文 件 (.dcp) 设 计 人 员 辅 助 如 需 加 快 子 系 统 或 设 计 的 创 建, 可 使 用 IP 集 成 器 的 模 块 自 动 化 和 连 接 自 动 化 功 能 模 块 自 动 化 功 可 用 来 配 置 基 于 处 理 器 的 基 本 设 计 以 及 一 些 复 杂 的 IP 子 系 统, 其 中 要 用 连 接 自 动 化 功 能 为 设 计 中 的 不 同 引 脚 或 端 口 建 立 重 复 连 接 IP 集 成 器 可 识 别 评 估 板, 目 前 已 支 持 所 有 赛 灵 思 评 估 板 这 意 味 着 如 果 您 使 用 评 估 板 作 为 目 标 硬 件,IP 集 成 器 可 以 识 别 出 评 估 板 上 的 所 有 接 口, 这 样 您 可 以 使 用 连 接 自 动 化 功 能 将 设 计 的 I/O 端 口 连 接 到 目 标 板 上 的 已 有 接 口 设 计 人 员 辅 助 还 有 助 于 建 立 时 钟 和 复 位 连 接 信 号 选 择 卡 和 板 接 口 选 择 卡 等 多 种 选 择 卡 可 协 助 您 在 模 块 设 计 中 建 立 连 接 使 用 设 计 人 员 辅 助 不 仅 有 助 于 加 快 建 立 互 连, 而 且 可 消 除 设 计 错 误 Send Feedback 30

31 第 2 章 :Vivado 设 计 套 件 流 程 模 块 自 动 化 对 于 一 些 基 于 IP 和 处 理 器 的 复 杂 设 计 而 言,IP 集 成 器 可 为 其 提 供 一 种 名 为 模 块 自 动 化 的 功 能 这 项 功 能 使 您 能 够 利 用 常 用 的 组 件 比 较 快 速 地 组 合 基 本 处 理 器 /IP 子 系 统 一 旦 嵌 入 式 设 计 的 基 本 构 建 模 块 被 组 合 到 一 起, 您 就 可 以 从 目 录 中 添 加 其 它 IP 以 对 这 一 基 础 系 统 进 行 扩 展 连 接 自 动 化 模 块 自 动 化 完 成 且 基 础 系 统 就 绪 之 后, 您 需 要 将 设 计 连 接 到 外 部 I/O 引 脚 IP 集 成 器 的 连 接 自 动 化 功 能 不 仅 有 助 于 建 立 与 I/O 引 脚 的 连 接, 还 有 助 于 建 立 与 设 计 本 身 的 不 同 源 文 件 的 连 接 与 目 标 板 功 能 配 合 使 用 时, 连 接 自 动 化 功 能 可 以 帮 助 您 将 模 块 设 计 的 端 口 连 接 到 目 标 板 上 的 外 部 接 口, 并 为 这 些 端 口 建 立 物 理 约 束 基 于 规 则 的 连 接 检 查 在 设 计 的 组 装 过 程 中,IP 集 成 器 可 实 时 运 行 基 本 的 设 计 规 则 检 查 但 是, 在 设 计 创 建 中 有 些 内 容 可 能 会 出 错 例 如, 时 钟 引 脚 频 率 可 能 被 错 误 设 置 该 工 具 可 通 过 运 行 设 计 验 证 来 找 出 这 类 错 误 设 计 验 证 可 在 模 块 设 计 方 案 上 执 行 设 计 规 则 检 查, 并 报 告 适 用 于 该 设 计 的 警 告 和 ( 或 ) 错 误 然 后, 您 可 以 从 消 息 视 图 到 方 块 图 追 踪 警 告 和 ( 或 ) 错 误 赛 灵 思 建 议 验 证 图 形 化 设 计 以 找 出 设 计 错 误, 否 则 只 能 到 设 计 流 程 后 期 才 能 发 现 设 计 验 证 还 可 在 模 块 设 计 方 案 上 运 行 参 数 传 递 参 数 传 递 使 IP 能 够 根 据 其 在 设 计 中 的 连 接 情 况 自 动 更 新 参 数 设 定 您 可 按 照 特 定 传 递 规 则 打 包 IP, 然 后 随 着 方 块 图 的 生 成,IP 集 成 器 会 运 行 这 些 规 则 要 运 行 设 计 验 证, 您 可 以 选 择 Tools > Validate Design, 或 者 使 用 TCL 命 令 validate_bd_design IP 集 成 器 菜 单 还 包 含 一 个 验 证 设 计 图 标 创 建 分 层 IP 子 系 统 IP 集 成 器 可 用 来 创 建 分 层 IP 子 系 统 具 有 大 量 模 块 的 设 计 适 合 使 用 该 功 能, 否 者 很 难 在 GUI 菜 单 中 进 行 管 理 该 工 具 支 持 多 个 层 级 级 数, 使 您 能 够 根 据 设 计 功 能 对 模 块 进 行 分 组, 从 而 保 持 设 计 在 IP 集 成 器 菜 单 中 的 模 块 化 和 整 洁 性 性 您 还 可 以 改 变 设 计 中 不 同 对 象 的 视 觉 效 果 例 如, 时 钟 和 复 位 可 被 标 记 为 不 同 颜 色 Send Feedback 31

32 第 2 章 :Vivado 设 计 套 件 流 程 生 成 模 块 设 计 模 块 设 计 或 IP 子 系 统 创 建 完 成 后, 您 即 可 生 成 设 计, 生 成 内 容 包 括 所 有 源 代 码 IP 的 必 要 约 束 以 及 模 块 设 计 的 结 构 网 表 您 可 以 右 键 点 击 Sources 窗 口 中 的 模 块 设 计 并 在 弹 出 菜 单 中 选 择 Generate Output Products 来 生 成 模 块 设 计 您 也 可 以 在 Vivado Flow Navigator 中 选 择 IP Integrator > Generate Block Design 等 效 的 TCL 命 令 是 generate_target all [get_files <path to the block design>] 该 步 骤 完 成 后, 设 计 就 可 被 集 成 到 更 高 级 的 HDL 设 计 中, 或 进 行 综 合 与 实 现 步 骤 使 用 针 对 模 块 设 计 的 无 关 联 (OOC) 综 合 分 层 设 计 流 程 能 够 将 设 计 划 分 为 可 单 独 处 理 的 更 小 更 好 管 理 的 模 块 在 Vivado 设 计 套 件 中, 这 些 流 程 的 基 础 是 能 够 综 合 与 设 计 其 余 部 分 无 关 联 (OOC) 的 分 区 模 块 IP 集 成 器 的 最 常 见 流 程 是 将 模 块 设 计 设 置 为 可 综 合 的 无 关 联 (OOC) 模 块, 这 样 可 创 建 一 个 设 计 检 查 点 (DCP) 文 件 如 果 作 为 更 大 的 Vivado 设 计 中 的 一 部 分 使 用, 那 么 这 个 模 块 设 计 就 不 需 要 每 次 修 改 设 计 其 它 部 分 (IP 集 成 器 外 部 ) 时 都 进 行 重 新 综 合 该 流 程 可 缩 短 运 行 时 间, 适 用 于 需 要 考 虑 运 行 时 间 的 情 况, 尤 其 在 是 设 计 探 索 的 早 期 阶 段 创 建 远 程 模 块 设 计 IP 集 成 器 的 重 用 功 能 允 许 您 在 Vivado 工 程 外 创 建 可 由 多 个 团 队 使 用 的 模 块 设 计, 从 而 方 便 开 展 团 队 式 设 计 工 作 在 您 完 成 设 计 创 建 并 对 其 实 行 版 本 控 制 后, 多 个 团 队 就 可 重 用 相 同 的 模 块 设 计 来 创 建 多 个 工 程 要 在 远 程 位 置 建 立 模 块 设 计, 可 在 Create Block Design 对 话 框 下 拉 目 录 列 表 中 指 定 所 需 位 置 管 理 IP 子 系 统 可 用 两 种 方 法 来 配 置 存 储 和 管 理 Vivado IP 子 系 统 在 工 程 模 式 和 非 工 程 模 式 下,IP 管 理 有 所 不 同 与 IP 类 似,IP 子 系 统 也 可 在 设 计 工 程 中 配 置 或 远 程 存 储 当 连 接 源 文 件 版 本 控 制 系 统 时, 远 程 方 式 是 最 佳 方 法 使 IP 子 系 统 位 置 设 定 在 Vivado 设 计 工 程 之 外 的 目 录 下, 这 样 可 将 IP 模 块 设 计 文 件 (.bd) 以 及 包 含 RTL 源 文 件 和 约 束 在 内 的 各 种 IP 输 出 结 果 写 在 目 录 结 构 中 每 个 IP 子 系 统 都 应 该 以 这 种 方 式 存 储 不 要 将 多 个 IP 子 系 统 导 出 到 单 个 目 录 中 整 个 IP 子 系 统 目 录 结 构 应 完 整 地 检 入 检 出 版 本 控 制 系 统 Send Feedback 32

33 第 2 章 :Vivado 设 计 套 件 流 程 升 级 IP 子 系 统 如 需 了 解 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 使 用 IP 集 成 器 设 计 IP 子 系 统 (UG994) [ 参 考 资 料 22] 封 装 定 制 IP 和 IP 子 系 统 您 可 利 用 Vivado IP 打 包 器 来 创 建 定 制 IP, 以 便 将 其 交 付 于 Vivado IP Catalog 中 采 用 行 业 标 准 IP- XACT 格 式 打 包 IP 将 打 包 IP 的 位 置 添 加 到 Vivado 设 计 套 件 Project Settings 的 Repository Manager 部 分 添 加 含 有 一 个 或 多 个 IP 的 资 源 库 后,IP 就 会 出 现 在 IP Catalog 中 您 现 在 就 可 选 择 和 定 制 Vivado IP Catalog 中 出 现 的 IP 下 面 给 出 Vivado IP 打 包 器 的 使 用 流 程 简 介 : 1. 使 用 Vivado IP 打 包 器 创 建 和 打 包 IP HDL 和 相 关 数 据 文 件 IP 打 包 器 目 前 不 支 持 将 SystemVerilog 源 文 件 作 为 顶 层 文 件 需 要 采 用 针 对 SystemVerilog 源 文 件 的 Verilog 或 VHDL 包 装 器 需 设 置 目 录 结 构, 以 便 使 组 成 IP 定 义 的 所 有 HDL 文 件 都 低 于 被 打 包 的 目 录 等 级 工 具 可 通 过 绝 对 路 径 在 更 高 目 录 级 参 考 HDL 文 件, 这 会 使 打 包 的 IP 不 能 在 网 络 间 移 植 工 具 可 创 建 一 个 刚 好 低 于 被 打 包 目 录 等 级 的 component.xml 文 件 component.xml 文 件 是 打 包 IP 的 IP- XACT 格 式 描 述 文 件 2. 为 团 队 成 员 或 客 户 提 供 打 包 IP 3. 终 端 用 户 向 Vivado 设 计 套 件 Project Settings 的 Repository 部 分 添 加 IP 位 置 4. IP 显 示 在 IP Catalog 中, 终 端 用 户 可 以 选 择 和 定 制 与 赛 灵 思 IP 相 似 的 IP IP 打 包 器 的 使 用 让 IP 终 端 用 户 无 论 是 使 用 赛 灵 思 IP 第 三 方 IP 还 是 定 制 内 部 IP 时 都 能 获 得 一 致 的 体 验 如 需 了 解 有 关 创 建 和 打 包 IP 的 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896)[ 参 考 资 料 9] 重 要 提 示 : 当 创 建 component.xml 文 件 时, 应 确 保 正 确 设 定 所 需 支 持 的 器 件 系 列 列 表 当 您 的 IP 需 与 多 个 器 件 系 列 配 合 使 用 时, 这 一 点 尤 其 重 要 提 示 : 在 打 包 IP 之 前, 应 确 保 IP 的 正 确 性, 包 括 相 应 的 综 合 后 网 表 Send Feedback 33

34 第 2 章 :Vivado 设 计 套 件 流 程 在 创 建 阶 段,Vivado IDE 的 另 外 一 个 实 例 可 与 edit_ip 工 程 一 起 打 开 该 工 程 是 一 个 临 时 缓 存, 在 IP 打 包 完 成 后, 工 具 会 立 即 将 它 清 除 创 建 定 制 接 口 Vivado 设 计 套 件 要 求 所 有 存 储 器 映 射 接 口 均 采 用 AXI 接 口 Vivado 设 计 套 件 提 供 创 建 与 打 包 IP (CIP) 向 导, 有 助 于 将 定 制 IP 接 口 转 换 为 符 合 AXI 接 口 标 准 的 接 口 CIP 向 导 可 生 成 三 类 AXI 接 口 : AXI4 : 用 于 单 个 地 址 相 位 中 最 多 允 许 256 个 数 据 传 送 周 期 的 内 存 映 射 接 口 AXI4-Lite : 轻 量 单 事 务 存 储 器 映 射 接 口 AXI4-Stream : 无 需 地 址 阶 段 而 且 数 据 突 发 包 的 大 小 无 限 制 的 AXI 接 口 如 果 您 仅 有 IP 的 核 功 能, 可 以 用 CIP 向 导 生 成 AXI 接 口 逻 辑 或 者, 您 已 经 具 有 AXI 接 口 逻 辑 以 及 核 功 能, 可 以 使 用 CIP 向 导 封 装 内 核 以 及 AXI 接 口 CIP 向 导 可 创 建 一 个 包 含 HDL 驱 动 测 试 应 用 总 线 功 能 模 型 (BFM) 和 实 例 模 板 的 模 板 AXI4 外 设 外 设 创 建 完 毕 后, 就 可 以 添 加 用 户 设 计 文 件, 以 完 成 定 制 IP 如 需 了 解 更 多 详 情, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9], 以 及 Vivado 设 计 套 件 用 户 指 南 : 用 IP 集 成 器 设 计 IP 子 系 统 (UG994) [ 参 考 资 料 22] 源 文 件 管 理 Vivado 设 计 环 境 参 考 源 文 件 包 含 设 计 描 述 内 容 Vivado 设 计 套 件 中 的 选 项 控 制 如 何 创 建 使 用 和 管 理 源 文 件 类 型 这 些 源 文 件 包 括 : HDL 和 网 表 文 件 :Verilog SystemVerilog Verilog, 包 括 VHDL EDIF 原 有 网 表 文 件 (.ngc) Tcl 文 件 : 包 括 约 束 (.xdc) 和 init.tcl IP 核 文 件 (.xci) IP 集 成 器 模 块 设 计 文 件 (.bd) Send Feedback 34

35 第 2 章 :Vivado 设 计 套 件 流 程 设 计 检 查 点 文 件 (.dcp) 系 统 生 成 器 子 系 统 (.sgp) 供 相 关 工 具 使 用 的 次 要 文 件 ( 例 如 仿 真 器 使 用 的 do 文 件 ) Block 存 储 器 映 射 文 件 (.bmm) 可 执 行 与 可 链 接 格 式 文 件 (.elf) 系 数 文 件 (.coe) Vivado 工 具 使 用 文 件 扩 展 名 来 确 定 源 文 件 的 类 型 例 如, 工 具 将 扩 展 名 是.v 的 文 件 识 别 为 Verilog 文 件 您 可 以 改 变 特 定 文 件 的 类 型, 方 法 是 使 用 set_property FILE_TYPE Tcl 命 令, 也 可 在 IDE 的 源 文 件 窗 口 中 右 键 点 击 文 件 名, 选 择 设 置 文 件 类 型 使 用 远 程 或 本 地 源 文 件 当 处 理 设 计 时,Vivado 设 计 套 件 可 使 用 远 程 源 文 件 对 源 文 件 的 任 何 修 改 都 被 写 到 初 始 位 置 提 示 : 大 多 数 源 文 件 修 改 可 保 存 到 新 的 文 件 名 中 设 计 源 文 件 可 能 是 只 读 文 件, 并 存 储 在 可 以 从 设 计 运 行 处 进 行 网 络 访 问 的 任 何 位 置 当 使 用 工 程 模 式 时, 您 可 以 将 源 文 件 复 制 到 工 程 目 录 中, 以 便 让 工 程 基 础 架 构 存 储 修 改 和 管 理 源 文 件 将 源 文 件 复 制 到 工 程 目 录 后 能 使 整 个 设 计 工 程 更 具 可 移 植 性 更 加 独 立 完 备 使 用 设 计 检 查 点 Vivado 设 计 套 件 使 用 设 计 检 查 点 文 件 (.dcp) 存 储 设 计 流 程 中 当 前 阶 段 的 当 前 状 态 设 计 检 查 点 包 括 检 查 点 写 入 阶 段 的 网 表 约 束 以 及 设 计 结 果 在 每 个 设 计 阶 段 完 成 后 都 应 写 入 设 计 检 查 点 当 使 用 工 程 进 行 综 合 和 实 现 时, 会 自 动 创 建 检 查 点 可 在 Vivado IDE 中 打 开 检 查 点, 以 进 行 设 计 分 析 和 约 束 修 改 约 束 更 改 可 被 写 入 到 新 的 约 束 文 件 中, 以 在 下 次 流 程 运 行 中 使 用 检 查 点 是 流 程 中 给 定 状 态 下 的 设 计 图 像 检 查 点 不 包 含 整 个 工 程 或 源 文 件 Send Feedback 35

36 第 2 章 :Vivado 设 计 套 件 流 程 使 用 存 档 设 计 archive_design 命 令 可 将 整 个 工 程 打 包 成 zip 压 缩 文 件 该 命 令 有 几 个 用 于 存 储 源 文 件 和 运 行 结 果 的 选 项 本 质 上 说, 整 个 工 程 被 复 制 到 本 地 内 存, 然 后 压 缩 并 存 储 到 磁 盘 上, 同 时 保 持 初 始 工 程 的 完 整 性 该 命 令 还 能 将 任 何 远 程 源 文 件 复 制 并 存 档 该 功 能 便 于 把 设 计 描 述 发 送 给 其 他 人 如 果 您 使 用 该 文 件 设 置 会 影 响 设 计 的 具 体 参 数 或 变 量, 可 能 还 需 要 发 送 您 自 己 的 init.tcl 版 本 的 文 件 如 需 了 解 更 多 信 息, 敬 请 查 看 如 下 资 源 : Vivado 设 计 套 件 用 户 指 南 : 系 统 级 设 计 入 门 (UG895) [ 参 考 资 料 8] Vivado 设 计 套 件 快 速 入 门 视 频 : 创 建 不 同 类 型 的 工 程 Vivado 设 计 套 件 快 速 入 门 视 频 : 用 工 程 管 理 源 文 件 将 设 计 与 IP 升 级 到 最 新 Vivado 版 本 在 设 计 过 程 中 很 可 能 会 推 出 新 版 本 的 Vivado 设 计 套 件 您 可 以 升 级 到 新 版 本, 或 者 保 持 当 前 版 本 赛 灵 思 推 荐 使 用 最 新 版 本, 并 非 强 制 要 求 但 是, 您 应 该 知 道 赛 灵 思 不 支 持 两 个 主 要 版 本 之 前 的 版 本 新 版 本 可 能 包 含 : 软 件 错 误 修 复 新 IP 版 本 更 新 的 器 件 文 件 ( 包 括 各 种 器 件 的 速 度 文 件 ) 赛 灵 思 的 新 器 件 新 的 软 件 功 能 性 能 改 进 当 升 级 到 新 软 件 版 本 时,Vivado 设 计 套 件 工 程 可 能 也 会 相 应 升 级 工 程 和 器 件 文 件 的 升 级 一 般 自 动 执 行, 无 需 用 户 参 与 您 可 选 择 升 级 到 最 新 的 IP 版 本, 或 锁 定 在 配 置 IP 时 的 版 本 为 此, 需 要 生 成 IP 的 输 出 结 果, 然 后 在 后 续 软 件 更 新 过 程 中 使 用 这 样 确 实 能 阻 止 在 最 新 版 本 上 重 新 配 置 IP, 除 非 您 使 用 最 初 配 置 IP 时 的 软 件 版 本 赛 灵 思 建 议 您 使 用 最 新 IP 版 本, 但 不 是 强 制 性 的 Send Feedback 36

37 第 2 章 :Vivado 设 计 套 件 流 程 IP 子 系 统 ( 用 IP 集 成 器 创 建 ) 中 包 含 的 IP 也 要 进 行 管 理 您 既 可 以 维 持 锁 定 版 本 的 IP 输 出 结 果, 也 可 以 升 级 到 最 新 版 本 包 含 在 特 定 IP 子 系 统 中 的 所 有 IP 必 须 同 时 升 级 如 需 了 解 更 多 详 情, 敬 请 查 看 如 下 资 源 : 第 94 页 的 使 用 知 识 产 权 (IP) Vivado 设 计 套 件 用 户 指 南 : 使 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9] Vivado 设 计 套 件 用 户 指 南 : 使 用 IP 集 成 器 设 计 IP 子 系 统 (UG994)[ 参 考 资 料 22] Vivado 设 计 套 件 快 速 入 门 视 频 : 管 理 Vivado IP 版 本 升 级 如 需 了 解 将 设 计 移 植 到 最 新 软 件 版 本 方 面 的 信 息, 敬 请 查 看 Vivado 设 计 套 件 用 户 指 南 : 版 本 说 明 安 装 和 许 可 (UG973) [ 参 考 资 料 3] 配 合 使 用 源 文 件 控 制 系 统 和 Vivado 工 具 很 多 设 计 团 队 使 用 源 文 件 管 理 系 统 来 存 储 各 种 设 计 配 置 和 版 本 目 前 有 很 多 商 用 系 统 可 供 选 择, 例 如 RCS CVS Subversion ClearCase Perforce Git Bitkeeper 等 但 没 有 一 套 系 统 独 占 优 势,Vivado 工 具 与 所 有 这 些 系 统 都 能 互 动 大 部 分 用 户 采 用 的 方 法 是 将 源 文 件 检 出 到 本 地 资 源 库 中 进 行 完 成 设 计 所 需 的 修 改 这 些 修 改 后 的 源 文 件 可 随 时 作 为 新 版 本 返 回 到 源 文 件 控 制 系 统 中 设 计 结 果 也 可 选 择 性 地 检 入 到 系 统 中 用 于 版 本 存 储 很 多 系 统 使 用 DIFF 机 制 对 上 次 检 出 后 经 过 修 改 的 源 文 件 进 行 更 新 大 多 数 都 通 过 目 录 结 构 来 存 储 和 管 理 设 计 源 文 件 和 结 果 在 设 计 流 程 中 使 用 和 生 成 的 Vivado 工 具 专 用 输 入 与 输 出 文 件 通 常 需 要 进 行 版 本 控 制 ( 第 34 页 的 源 文 件 管 理 ) 部 分 列 出 了 这 些 文 件 视 频 : 如 需 了 解 如 何 以 最 佳 实 践 配 合 Vivado 工 具 使 用 版 本 控 制 系 统 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 快 速 入 门 视 频 : 版 本 控 制 简 介 非 工 程 模 式 下 的 源 文 件 管 理 与 源 文 件 控 制 系 统 最 简 单 的 互 动 方 式 就 是 使 用 非 工 程 脚 本 流 程 设 计 人 员 将 所 需 的 源 文 件 检 出 到 所 选 择 的 本 地 目 录 结 构 中 随 后, 设 计 人 员 将 源 文 件 实 例 化 去 创 建 设 计 可 能 还 需 要 创 建 新 的 源 文 件 文 件 准 备 好 后, 通 过 read_* tcl 命 令 将 源 文 件 传 送 给 Vivado 综 合 与 实 现 命 令 源 文 件 保 持 Send Feedback 37

38 第 2 章 :Vivado 设 计 套 件 流 程 在 初 始 位 置 利 用 合 适 的 代 码 编 辑 器, 通 过 交 互 方 式 或 在 设 计 阶 段 通 过 Tcl 命 令 修 改 检 出 的 源 文 件 比 较 常 见 的 修 改 实 例 就 是 时 序 约 束 修 改 注 意 : 尽 管 源 文 件 是 只 读 形 式, 但 这 可 禁 止 其 被 修 改 源 文 件 随 后 依 照 设 计 人 员 的 裁 定 检 入 到 源 文 件 控 制 系 统 设 计 检 查 点 报 告 和 比 特 文 件 等 设 计 结 果 也 可 检 入, 以 进 行 版 本 管 理 运 行 脚 本 和 工 具 设 置 也 应 检 入, 用 于 版 本 控 制 可 使 用 write_project_tcl 命 令 将 该 信 息 提 取 到 Tcl 脚 本 中 由 于 init.tcl 不 包 含 在 write_project_tcl 中, 因 此 init.tcl 也 必 须 检 入 检 入 所 有 这 些 文 件, 就 可 以 利 用 当 前 的 源 文 件 和 工 具 设 置 重 新 创 建 设 计 工 程 模 式 下 的 源 文 件 管 理 使 用 Vivado 设 计 套 件 工 程 会 让 与 源 文 件 控 制 系 统 的 交 互 复 杂 化 工 程 可 维 持 自 己 的 源 文 件 副 本, 并 实 现 自 身 的 设 计 管 理 但 可 采 取 一 些 方 法 将 二 者 结 合 使 用 设 计 人 员 应 接 受 这 样 的 事 实, 即 本 地 和 远 程 源 文 件 都 可 以 使 用 IDE 进 行 交 互 式 操 作 文 本 编 辑 器 可 用 来 编 辑 源 文 件, 同 时 在 打 开 工 程 时 分 析 和 修 改 结 果 可 以 使 用 只 读 源 文 件, 但 会 严 重 限 制 IDE 优 势 的 发 挥 若 不 把 源 文 件 复 制 到 本 地 工 程 目 录 中, 就 应 使 用 远 程 源 文 件 来 创 建 工 程 使 用 这 种 方 案 可 轻 松 地 维 护 和 管 理 源 文 件 当 使 用 带 源 文 件 控 制 系 统 的 工 程 时,IDE 所 使 用 的 运 行 脚 本 对 用 户 处 于 隐 藏 状 态 只 有 Vivado 设 计 套 件 日 志 文 件 可 见 ; 该 文 件 显 示 当 前 会 话 的 Tcl 命 令 此 外, 工 具 配 置 设 置 存 储 在 运 行 策 略 文 件 中 ; 该 文 件 在 以 后 的 运 行 中 不 容 易 提 取 或 应 用 因 此, 当 使 用 带 源 文 件 控 制 系 统 的 工 程 时, 赛 灵 思 建 议 使 用 Tcl 脚 本 式 方 法 重 新 构 建 工 程 工 程 编 译 运 行 脚 本 和 工 具 设 置 也 应 被 检 入, 用 于 版 本 控 制 使 用 write_project_tcl 命 令 将 该 信 息 提 取 到 Tcl 脚 本 该 脚 本 允 许 通 过 当 前 的 源 文 件 和 工 具 配 置 设 置 重 新 创 建 设 计 从 版 本 开 始,Vivado 工 程 可 用 单 个 文 件 (<project_name>.xpr) 进 行 重 建 和 管 理 该 文 件 以 及 各 种 工 程 源 文 件 是 唯 一 需 要 在 版 本 控 制 下 管 理 的 文 件 只 要 源 文 件 位 于 初 始 位 置, 就 可 通 过 打 开 该 工 程 文 件 及 其 相 关 源 文 件 来 重 新 创 建 整 个 工 程 Send Feedback 38

39 第 2 章 :Vivado 设 计 套 件 流 程 用 版 本 控 制 系 统 存 储 和 管 理 IP 源 文 件 管 理 设 计 中 IP 和 IP 子 系 统 源 文 件 版 本 的 最 简 单 方 法 是 使 用 独 立 远 程 单 独 IP 源 文 件 或 IP 位 置 工 程 每 个 IP 核 都 存 储 在 含 有.xci IP 主 源 文 件 以 及 RTL XDC 和 其 它 实 现 IP 所 需 的 相 关 文 件 的 子 目 录 中 为 了 存 储 设 计 中 所 用 的 IP 定 制 化 内 容, 您 至 少 应 保 存 IP 特 定 配 置 的.xci 文 件 有 了.xci, IP 就 可 以 通 过 使 用 创 建 时 使 用 的 相 同 Vivado IDE 版 本 重 新 生 成 如 果 您 打 算 将 该 IP 用 于 以 后 的 Vivado 设 计 套 件 版 本, 那 么 整 个 目 录 应 该 放 在 版 本 控 制 目 录 的 下 面, 以 保 持 Vivado 设 计 套 件 的 层 级 关 系 您 也 可 以 为 IP 生 成 综 合 后 的 DCP 综 合 后 的 IP 设 计 检 查 点 可 在 Vivado 工 具 中 作 为 设 计 源 文 件 使 用, 替 代 RTL 和 XDC 源 文 件 如 果 需 要 采 用 这 种 设 计 方 案, 应 检 入 IP 设 计 检 查 点 进 行 版 本 控 制 Vivado 工 具 可 支 持 一 系 列 针 对 IP 的 报 告 和 输 出 格 式, 例 如 write_verilog write_vhdl 和 write_ edif 这 些 输 出 文 件 经 常 用 于 第 三 方 综 合 与 仿 真 软 件 在 使 用 时, 也 应 检 入 以 进 行 版 本 控 制 用 版 本 控 制 系 统 管 理 IP 源 文 件 管 理 设 计 中 IP 和 IP 子 系 统 源 文 件 版 本 的 最 简 单 方 法 是 使 用 独 立 远 程 单 独 IP 源 文 件 或 IP 位 置 工 程 每 个 IP 核 都 存 储 在 含 有.xci IP 主 源 文 件 以 及 RTL XDC 和 其 它 实 现 IP 所 需 的 相 关 文 件 的 子 目 录 中 只 要 创 建 IP 时 使 用 的 是 相 同 的 Vivado 设 计 套 件 版 本, 您 就 可 以 从.xci 中 重 新 生 成 IP 输 出 结 果 如 果 您 不 打 算 迁 移 到 以 后 的 Vivado 设 计 套 件 版 本, 或 者 计 划 将 IP 升 级 为 后 续 Vivado 设 计 套 件 版 本 中 的 最 新 IP 版 本, 那 么 只 需 存 储 IP.xci 文 件 ( 包 含 设 计 中 所 用 的 IP 自 定 义 设 置 ) 如 果 不 将 Vivado IP 升 级 到 最 新 版 本, 就 无 法 通 过 未 来 的 Vivado 设 计 套 件 版 本 进 行 重 新 自 定 义 如 果 您 想 要 迁 移 到 未 来 的 Vivado 设 计 套 件 软 件 版 本, 需 要 将 整 个 IP 子 目 录 放 在 版 本 控 制 系 统 下 这 样 能 确 保 所 有 输 出 结 果 都 能 在 未 来 的 Vivado 设 计 套 件 版 本 中 使 用 完 整 检 入 整 个 子 目 录 还 能 保 持 与 Vivado 设 计 套 件 配 合 使 用 时 的 层 级 关 系 Send Feedback 39

40 第 2 章 :Vivado 设 计 套 件 流 程 您 也 可 以 为 IP 生 成 综 合 后 的 DCP 综 合 后 的 IP 设 计 检 查 点 可 在 Vivado 工 具 中 作 为 设 计 源 文 件 使 用, 替 代 RTL 和 XDC 源 文 件 如 果 需 要 采 用 这 种 设 计 方 案, 应 检 入 IP 设 计 检 查 点 进 行 版 本 控 制 使 用 DCP 作 为 IP 的 源 文 件 能 阻 止 以 后 对 IP 的 升 级 或 自 定 义 网 表 和 约 束 基 本 上 是 固 定 的 Vivado 工 具 可 支 持 一 系 列 针 对 IP 的 报 告 和 输 出 格 式, 例 如 write_verilog write_vhdl 和 write_ edif 这 些 输 出 文 件 经 常 用 于 第 三 方 综 合 与 仿 真 软 件 如 果 使 用 这 些 文 件, 也 应 进 行 检 入 以 实 现 版 本 控 制 使 用 Vivado 仿 真 图 2-4 显 示 了 所 有 应 通 过 Vivado 仿 真 来 实 现 功 能 和 时 序 仿 真 的 位 置 设 计 输 入 RTL 仿 真 ( 建 议 在 进 行 到 综 合 及 实 现 之 前 执 行 ) Simprim ( 仅 Verilog) Unisim 用 于 时 序 仿 真 的 库 后 综 合 时 序 仿 真 ( 仅 Verilog) 综 合 后 综 合 功 能 仿 真 用 于 功 能 / TRL 仿 真 的 库 测 试 平 台 激 励 SecureIP Unifast 后 实 现 时 序 仿 真 ( 仅 Verilog) 实 现 后 实 现 功 能 仿 真 SecureIP 图 2-4 : 设 计 流 程 中 不 同 点 的 仿 真 设 计 流 程 中 早 期 阶 段 的 功 能 仿 真 使 用 功 能 或 寄 存 器 传 输 级 (RTL) 仿 真 来 验 证 语 法 和 功 能 性 对 于 较 大 型 的 层 级 硬 件 描 述 语 言 (HDL) 设 计, 可 在 测 试 用 户 整 个 设 计 之 前 单 独 对 每 个 模 块 执 行 仿 真 这 个 过 程 可 让 代 码 调 试 更 轻 松 易 Send Feedback 40

41 第 2 章 :Vivado 设 计 套 件 流 程 行 通 常 执 行 首 次 通 过 式 仿 真 来 验 证 RTL( 行 为 ) 代 码 并 确 认 设 计 能 否 发 挥 预 期 功 能 该 步 骤 不 会 提 供 时 序 信 息, 您 应 在 单 位 延 迟 模 式 下 执 行 仿 真 以 避 免 产 生 竞 态 条 件 初 始 设 计 的 创 建 应 使 用 可 综 合 的 HDL 架 构 除 非 有 必 要, 否 则 严 禁 实 例 化 特 定 组 件 这 样 允 许 : 更 多 可 读 代 码 更 快 速 更 简 单 的 仿 真 代 码 可 移 植 性 ( 能 移 植 到 不 同 器 件 系 列 ) 代 码 重 用 ( 能 在 以 后 设 计 中 使 用 相 同 代 码 ) 您 会 发 现 如 果 组 件 无 法 例 化, 有 必 要 对 组 件 进 行 实 例 化 组 件 的 实 例 化 可 能 会 使 代 码 具 备 架 构 特 定 性 实 例 化 的 组 件 可 以 包 括 : 实 例 化 UNISIM 库 组 件 实 例 化 UniMacro 组 件 SecureIP 一 旦 每 个 模 块 都 达 到 预 期 功 能, 即 可 创 建 设 计 级 的 测 试 平 台, 以 验 证 整 个 设 计 是 否 能 按 计 划 发 挥 作 用 在 最 后 时 序 仿 真 中 再 次 使 用 该 测 试 平 台, 以 确 定 设 计 是 否 可 在 最 差 延 迟 条 件 下 按 预 期 发 挥 功 能 使 用 测 试 平 台 提 供 激 励 要 执 行 仿 真, 您 需 要 创 建 测 试 平 台 向 设 计 实 施 激 励 测 试 平 台 是 针 对 仿 真 器 编 写 的 硬 件 描 述 语 言 (HDL) 代 码 仿 真 器 执 行 如 下 内 容 : 实 例 化 设 计 网 表 初 始 化 设 计 应 用 激 励, 以 验 证 设 计 的 功 能 性 您 还 可 以 设 置 测 试 平 台, 将 所 需 的 仿 真 输 出 显 示 在 文 件 波 形 或 屏 幕 中 测 试 平 台 能 采 用 简 单 结 构, 并 按 顺 序 将 应 用 激 励 到 特 定 输 入 此 外, 测 试 平 台 也 可 以 非 常 复 杂, 可 能 包 含 : 子 例 程 调 用 从 外 部 文 件 读 入 激 励 Send Feedback 41

42 第 2 章 :Vivado 设 计 套 件 流 程 条 件 激 励 其 它 更 复 杂 的 结 构 与 交 互 式 仿 真 相 比, 测 试 平 台 具 有 如 下 优 势 : 允 许 贯 穿 整 个 设 计 过 程 实 现 可 重 复 仿 真 提 供 测 试 条 件 存 档 如 需 了 解 编 写 有 效 测 试 平 台 的 更 多 信 息, 敬 请 参 考 XAPP 注 意! 当 编 写 设 计 或 测 试 平 台 描 述 时, 应 避 免 竞 态 条 件, 例 如 同 时 更 改 数 据 和 时 钟 等 仿 真 时 使 用 结 构 网 表 一 旦 您 对 设 计 进 行 综 合 或 实 现 后, 即 可 在 功 能 或 时 序 模 式 下 执 行 网 表 仿 真 网 表 仿 真 还 将 帮 助 您 找 出 如 下 潜 在 问 题 : 以 下 因 素 会 导 致 综 合 后 与 实 现 后 的 功 能 变 化 : 会 导 致 不 匹 配 的 综 合 属 性 或 约 束 ( 例 如 full_case 和 parallel_case) 在 赛 灵 思 设 计 约 束 (XDC) 文 件 中 应 用 的 UNISIM 属 性 综 合 与 仿 真 对 语 言 的 任 何 解 读 差 异 双 端 口 RAM 冲 突 遗 漏 或 未 正 确 使 用 的 时 序 约 束 操 作 异 步 路 径 优 化 技 术 引 起 的 功 能 问 题 对 在 STA 过 程 中 声 明 为 虚 假 或 多 周 期 的 时 序 路 径 的 敏 感 化 生 成 评 估 功 耗 的 网 表 转 换 活 动 不 定 态 Send Feedback 42

43 第 2 章 :Vivado 设 计 套 件 流 程 对 于 网 表 仿 真, 您 需 要 使 用 表 2-1 给 出 的 一 个 或 多 个 库 表 2-1 : 仿 真 库 的 使 用 库 名 称 描 述 VHDL 库 名 称 Verilog 库 名 称 UNISIM 赛 灵 思 原 语 的 功 能 仿 真 UNISIM UNISIMS_VER UNIMACRO 赛 灵 思 宏 命 令 的 功 能 仿 真 UNIMACRO UNIMACRO_VER UNIFAST 快 速 仿 真 库 UNNIFAST UNIFAST_VER 表 2-2 提 供 这 些 库 的 位 置 UNIFAST 库 是 可 选 库, 可 在 仿 真 期 间 用 于 加 快 仿 真 运 行 速 度 重 要 提 示 : 您 不 能 将 UNIFAST 模 型 用 于 时 序 仿 真 建 议 : 赛 灵 思 建 议 将 UNIFAST 库 用 于 设 计 的 初 步 验 证 完 整 验 证 应 使 用 UNISIM 库 如 需 了 解 有 关 赛 灵 思 仿 真 库 的 更 多 信 息, 敬 请 点 击 链 接 查 看 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 表 2-2 : 仿 真 库 的 位 置 库 HDL 类 型 位 置 UNISIM UNIFAST UNIMACRO SECUREIP Verilog VHDL Verilog VHDL Verilog VHDL Verilog <Vivado_Install_Area>/data/verilog/xsim/unisims <Vivado_Install_Area>/data/vhdl/xsim/unisims <Vivado_Install_Area>/data/verilog/src/unifast <Vivado_Install_Area>/data/vhdl/src/unifast <Vivado_Install_Area>/data/verilog/src/unimacro <Vivado_Install_Area>/data/vhdl/src/unimacro <Vivado_Install_Area>/data/secureip/<simulator>/ <simulator>_secureip_cell.list.f 注 意 :Vivado 工 具 允 许 您 在 综 合 与 实 现 阶 段 运 行 功 能 和 / 或 时 序 仿 真 如 需 了 解 有 关 网 表 生 成 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 Tcl 命 令 参 考 指 南 (UG835) [ 参 考 资 料 24] 中 的 write_verilog 和 write_sdf 命 令 除 时 序 元 件 外,UNISIM 库 的 原 语 或 元 件 没 有 任 何 时 序 信 息 为 防 止 功 能 仿 真 过 程 中 出 现 竞 态 条 件, 时 序 元 件 具 有 100ps 的 时 钟 至 输 出 延 迟 组 合 信 号 的 波 形 视 图 可 能 因 UNISIM 元 件 中 缺 少 延 迟 而 出 现 尖 峰 和 毛 刺 Send Feedback 43

44 第 2 章 :Vivado 设 计 套 件 流 程 时 序 仿 真 很 多 用 户 因 为 运 行 时 间 长 的 原 因 而 不 执 行 时 序 仿 真 如 果 您 决 定 跳 过 时 序 仿 真, 应 确 保 如 下 内 容 : 确 保 您 的 STA 约 束 绝 对 正 确 需 要 特 别 注 意 异 常 情 况 确 保 您 的 网 表 完 全 等 同 于 通 过 RTL 实 现 的 结 果 应 特 别 注 意 综 合 工 具 提 供 的 任 何 与 调 用 有 关 的 信 息 您 应 该 考 虑 时 序 仿 真, 因 为 完 整 时 序 下 的 仿 真 是 最 接 近 硬 件 行 为 的 模 仿 方 式 如 果 您 的 设 计 不 在 硬 件 上 运 行, 那 么 在 仿 真 中 调 试 错 误 会 容 易 得 多, 只 要 执 行 能 重 新 生 成 故 障 情 况 的 时 序 仿 真 即 可 在 Vivado 设 计 套 件 中 运 行 时 序 仿 真 赛 灵 思 仅 支 持 Verilog 中 的 时 序 仿 真 您 可 以 使 用 write_verilog TCL 命 令 生 成 时 序 仿 真 网 表 仿 真 网 表 中 的 Verilog 系 统 任 务 $sdf_annotate 可 指 定 要 读 取 的 标 准 延 迟 格 式 (SDF) 文 件 的 名 称 当 仿 真 器 编 译 Verilog 仿 真 网 表 时, 工 具 自 动 读 取 SDF 文 件 提 示 :Vivado 仿 真 器 支 持 混 合 语 言 仿 真, 这 意 味 着 如 果 您 是 VHDL 用 户, 您 可 以 从 VHDL 测 试 平 台 生 成 Verilog 仿 真 网 表 并 将 其 实 例 化 仿 真 时 间 分 辨 率 赛 灵 思 建 议 您 使 用 1ps 的 分 辨 率 运 行 仿 真 有 些 赛 灵 思 原 语 组 件 ( 例 如 DCM) 在 功 能 或 时 序 仿 真 中 都 需 要 1ps 的 分 辨 率 才 能 正 常 工 作 提 示 : 由 于 大 部 分 仿 真 时 间 都 花 在 delta 周 期 内, 因 此 对 赛 灵 思 仿 真 模 型 使 用 较 差 的 分 辨 率 不 会 获 得 明 显 的 仿 真 器 性 能 提 升 建 议 : 赛 灵 思 建 议 您 不 要 使 用 更 精 细 的 分 辨 率, 例 如 fs 有 些 仿 真 器 可 能 舍 入 数 字, 而 其 它 仿 真 器 则 可 能 截 断 数 字 仿 真 全 局 置 位 / 复 位 (GSR) 赛 灵 思 器 件 具 有 连 接 器 件 中 每 个 寄 存 器 的 专 用 布 线 和 电 路 当 您 生 效 专 用 的 全 局 设 置 / 复 位 (GSR) 网 络 时, 该 网 络 会 在 器 件 配 置 后 立 即 释 放 所 有 触 发 器 和 锁 存 器 都 收 到 此 复 位 信 号, 并 达 成 取 决 于 寄 存 器 的 定 义 值 Send Feedback 44

45 第 2 章 :Vivado 设 计 套 件 流 程 在 网 表 仿 真 中, 在 第 一 个 100ns 内 自 动 生 效 GSR 信 号, 用 以 仿 真 配 置 后 的 复 位 信 号 您 也 可 以 为 综 合 前 的 功 能 仿 真 提 供 一 个 GSR 脉 冲, 但 如 果 设 计 中 具 有 可 复 位 所 有 寄 存 器 的 本 地 复 位 信 号, 那 么 就 没 必 要 这 样 做 如 需 了 解 有 关 GSR 的 更 多 信 息, 敬 请 查 阅 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 中 的 这 个 链 接 禁 用 不 定 态 传 播 当 在 时 序 仿 真 过 程 中 发 生 时 序 违 规 时, 锁 存 器 寄 存 器 RAM 或 其 它 同 步 元 件 的 默 认 行 为 是 在 仿 真 过 程 中 在 相 应 元 件 上 输 出 一 个 不 定 态 之 所 以 出 现 不 定 态 是 因 为 实 际 输 出 值 未 知 在 该 硬 件 上, 寄 存 器 的 输 出 可 能 显 示 如 下 任 意 行 为 : 保 留 之 前 的 值 更 新 为 新 的 值 进 入 亚 稳 态, 即 在 同 步 元 件 计 时 片 刻 之 后 才 建 立 确 定 值 由 于 不 能 确 定 这 个 值 且 无 法 确 保 准 确 的 仿 真 结 果, 因 而 元 件 输 出 一 个 代 表 未 知 值 的 不 定 态 保 留 该 不 定 态 输 出, 直 到 在 未 再 次 出 现 违 规 的 情 况 下, 由 下 一 个 时 钟 周 期 内 的 下 一 个 计 时 值 更 新 该 输 出 不 定 态 输 出 的 出 现 会 严 重 影 响 仿 真 例 如, 由 某 寄 存 器 生 成 的 不 定 态 会 在 后 续 的 时 钟 周 期 中 传 播 到 其 它 寄 存 器 这 会 导 致 处 于 测 试 状 态 中 的 设 计 的 很 大 部 分 变 成 未 知 要 纠 正 设 计 中 的 大 范 围 不 定 态 传 播, 应 : 在 同 步 路 径 上, 分 析 路 径 并 修 复 与 该 路 径 或 其 它 路 径 相 关 联 的 任 何 时 序 问 题, 以 确 保 电 路 正 确 工 作 在 异 步 路 径 上, 如 果 无 法 避 免 时 序 违 规, 则 应 在 时 序 违 规 过 程 中 禁 用 同 步 元 件 上 的 不 定 态 传 播 如 需 了 解 使 用 ASYNC_REG 约 束 方 面 的 更 多 详 情, 敬 请 查 看 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 中 的 这 个 链 接 当 不 定 态 传 播 被 禁 用 时, 仿 真 器 在 寄 存 器 的 输 出 端 保 留 之 前 的 值 在 实 际 的 芯 片 中, 寄 存 器 可 能 会 有 不 同 的 行 为 禁 用 不 定 态 传 播 可 能 会 产 生 与 芯 片 行 为 不 匹 配 的 仿 真 结 果 Send Feedback 45

46 第 2 章 :Vivado 设 计 套 件 流 程 警 告! 使 用 这 个 选 项 时 需 非 常 谨 慎 仅 在 无 法 避 免 时 序 违 规 时 才 使 用 它 使 用 第 三 方 综 合 与 仿 真 工 具 Vivado 设 计 套 件 还 包 含 内 部 的 综 合 与 仿 真 工 具, 但 也 可 以 连 接 第 三 方 综 合 与 仿 真 工 具 它 可 以 接 收 Synopsys 和 Mentor Graphics 综 合 工 具 生 成 的 综 合 网 表, 并 具 有 相 应 的 功 能, 可 支 持 将 赛 灵 思 IP 与 它 们 配 合 使 用 ModelSim 仿 真 环 境 已 集 成 到 Vivado IDE 还 提 供 针 对 Verilog VHDL 和 EDIF 结 构 化 网 表 的 输 出 功 能, 以 连 接 其 它 的 第 三 方 仿 真 工 具 您 可 经 常 利 用 Tcl 对 这 些 环 境 进 行 定 制 化, 以 便 在 整 个 设 计 周 期 内 实 现 无 缝 操 作 运 行 逻 辑 综 合 由 Synopsys 和 Mentor Graphics 提 供 的 赛 灵 思 FPGA 逻 辑 综 合 工 具 可 与 Vivado 设 计 套 件 配 合 使 用 在 Vivado 设 计 套 件 中, 您 可 以 导 入 综 合 后 的 结 构 化 Verilog( 建 议 ) 或 EDIF 格 式 网 表, 用 以 在 实 现 时 使 用 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 5 章 实 现 IP 生 成 的 输 出 结 果 之 一 是 端 口 定 义 文 件, 其 使 用 户 能 利 用 第 三 方 工 具 对 设 计 进 行 综 合, 从 而 将 赛 灵 思 IP 作 为 黑 盒 对 待 有 些 第 三 方 厂 商 可 能 支 持 通 过 加 密 的 白 盒 网 表 进 行 IP 综 合 这 样 就 会 在 顶 层 综 合 时 考 虑 加 密 IP 的 资 源 利 用 和 时 序 是 否 支 持 该 功 能 取 决 于 IP 和 所 使 用 的 第 三 方 软 件 版 本 运 行 逻 辑 仿 真 Vivado IDE 支 持 Mentor Graphics Cadence Synopsys 和 Aldec 的 FPGA 逻 辑 仿 真 工 具 Mentor Graphics 提 供 的 ModelSim 仿 真 器 直 接 与 Vivado IDE 集 成 Vivado 工 具 可 以 为 其 它 仿 真 器 生 成 仿 真 脚 本 可 考 虑 使 用 UNIFAST 库 实 现 更 快 的 仿 真 速 度, 具 体 内 容 参 考 第 42 页 的 如 何 使 用 支 持 仿 真 的 结 构 网 表 可 利 用 Tcl 生 成 第 三 方 行 为 仿 真 所 需 的 源 文 件 编 译 列 表 为 所 有 支 持 的 第 三 方 逻 辑 仿 真 器 制 作 结 构 化 网 表 您 可 在 设 计 流 程 的 任 意 阶 段 从 Vivado 设 计 套 件 中 导 出 用 于 第 三 方 仿 真 器 的 完 整 Verilog 或 VHDL 网 表 此 外, 您 还 可 以 导 出 用 于 第 三 方 时 序 仿 真 的 实 现 后 SDF 延 迟 Send Feedback 46

47 第 2 章 :Vivado 设 计 套 件 流 程 为 整 个 工 程 生 成 脚 本, 可 使 用 : launch_modelsim -scripts_only 或 export_simulation -simulator <other supported third party simulator> 调 试 第 三 方 仿 真 问 题 若 使 用 第 三 方 仿 真 器 对 由 Vivado 工 具 创 建 的 设 计 进 行 仿 真 时, 下 面 的 问 题 列 表 会 给 出 调 试 设 置 和 流 程 问 题 的 指 导 方 法 : 1. 您 是 否 知 道 您 使 用 的 第 三 方 仿 真 器 是 获 支 持 的 版 本? 若 是, 继 续 下 一 个 问 题 若 否, 则 参 考 Vivado 设 计 套 件 用 户 指 南 : 版 本 说 明 安 装 和 许 可 (UG973) 中 的 这 个 链 接 2. 您 的 仿 真 器 是 否 支 持 混 合 模 式 仿 真 : 若 是, 继 续 下 一 个 问 题 若 否, 则 参 考 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 中 的 这 个 链 接 3. 您 是 否 收 到 关 于 丢 失 赛 灵 思 库 的 错 误 信 息? 若 否, 继 续 下 一 个 问 题 若 是, 参 考 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 中 的 这 个 链 接 4. 您 在 使 用 赛 灵 思 原 语 时 是 否 收 到 绑 定 错 误 信 息? 若 否, 继 续 下 一 个 问 题 若 是, 则 参 考 Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) 中 的 这 个 链 接 5. 您 的 设 计 中 是 否 有 赛 灵 思 IP 核? 若 是, 参 考 Vivado 设 计 套 件 用 户 指 南 : 采 用 IP 核 进 行 设 计 (UG896) 中 的 这 个 链 接 若 否, 而 且 您 有 关 于 赛 灵 思 仿 真 流 程 方 面 的 具 体 问 题, 参 考 赛 灵 思 支 持 网 站, 联 系 赛 灵 思 技 术 支 持 对 于 所 有 与 仿 真 器 相 关 的 问 题, 请 直 接 联 系 仿 真 器 厂 商 本 文 档 不 包 含 运 行 逻 辑 仿 真 的 内 容 如 需 了 解 有 关 逻 辑 仿 真 的 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 逻 辑 仿 真 (UG900) [ 参 考 资 料 11] Vivado 设 计 套 件 教 程 : 逻 辑 仿 真 (UG937) [ 参 考 资 料 28] Send Feedback 47

48 第 3 章 单 板 和 器 件 规 划 单 板 和 器 件 规 划 简 介 正 确 规 划 单 板 上 FPGA 的 定 向 并 将 信 号 分 配 给 特 定 的 引 脚, 这 样 可 以 显 著 改 进 系 统 整 体 性 能 功 耗 和 设 计 周 期 可 视 化 FPGA 器 件 与 印 刷 电 路 板 (PCB) 之 间 的 物 理 和 逻 辑 互 动 方 式, 使 您 可 以 优 化 通 过 器 件 的 数 据 流 未 正 确 规 划 I/O 配 置 则 可 能 导 致 系 统 性 能 下 降 和 设 计 收 敛 时 间 延 长 赛 灵 思 强 烈 建 议 在 考 虑 I/O 规 划 的 同 时 进 行 板 级 规 划 如 需 了 解 更 多 信 息, 敬 请 参 阅 下 列 资 料 : Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG833)[ 参 考 资 料 4] Vivado 设 计 套 件 快 速 入 门 视 频 :I/O 规 划 简 介 PCB 布 局 建 议 单 板 上 FPGA 器 件 的 布 局 与 其 它 组 件 的 互 动 会 对 I/O 规 划 产 生 巨 大 影 响 与 PCB 上 的 物 理 组 件 保 持 一 致 首 先 应 确 定 FPGA 器 件 在 PCB 上 的 定 向 还 要 考 虑 固 定 PCB 组 件 的 位 置, 以 及 内 部 FPGA 资 源 例 如, 使 FPGA 封 装 的 GT 接 口 尽 量 靠 近 在 PCB 上 与 其 连 接 的 组 件, 这 样 可 以 缩 短 PCB 走 线 长 度, 同 时 减 少 PCB 过 孔 数 量 制 作 一 张 包 含 关 键 接 口 的 PCB 草 图, 通 常 有 助 于 确 定 FPGA 器 件 在 PCB 上 的 最 佳 定 向, 以 及 PCB 组 件 的 布 局 完 成 以 后 继 续 规 划 余 下 的 FPGA I/O 接 口 Send Feedback 48

49 第 3 章 : 单 板 和 器 件 规 划 像 存 储 器 这 样 的 高 速 接 口 可 以 通 过 非 常 短 的 走 线 直 接 与 PCB 组 件 连 接 如 有 可 能, 这 些 PCB 走 线 经 常 需 要 长 度 匹 配, 并 且 不 能 使 用 PCB 过 孔 在 这 种 情 况 下, 偏 向 于 选 择 最 接 近 器 件 边 缘 的 封 装 引 脚, 以 保 持 较 短 的 连 接, 同 时 避 免 布 线 超 出 BGA 引 脚 的 大 矩 阵 配 电 系 统 FPGA 设 计 师 面 临 着 一 个 特 殊 的 任 务, 那 就 是 设 计 一 个 配 电 系 统 (PDS) 大 多 数 其 它 大 型 密 集 型 集 成 电 路 ( 如 大 型 微 处 理 器 ) 都 要 求 带 有 专 用 的 旁 路 电 容 器 因 为 这 些 器 件 仅 用 于 执 行 特 定 任 务, 其 电 源 需 求 固 定, 而 且 仅 在 一 定 范 围 内 波 动 FPGA 器 件 不 具 有 这 一 属 性 FPGA 器 件 可 以 在 不 确 定 频 率 和 多 个 时 钟 域 中 执 行 几 乎 无 限 多 的 应 用 程 序 为 此, 请 您 务 必 参 考 器 件 PCB 设 计 和 引 脚 规 划 指 南, 完 全 了 解 器 件 PDS PDS 设 计 期 间 应 考 虑 的 关 键 因 素 包 括 : 选 择 合 适 的 稳 压 器, 并 根 据 功 耗 估 算, 满 足 噪 声 和 电 流 要 求 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 283 页 的 功 耗 设 置 XADC 电 源 (Vrefp 和 Vrefn 引 脚 ) 运 行 PDN 仿 真 PCB 设 计 和 引 脚 规 划 用 户 指 南 中 建 议 的 去 耦 电 容 器 数 量 是 以 最 坏 的 情 况 为 前 提, 因 为 FPGA 器 件 可 以 实 现 任 意 功 能 运 行 PDN 仿 真 有 助 于 减 少 确 保 电 源 在 建 议 的 工 作 范 围 内 运 行 所 需 的 去 耦 电 容 器 数 量 行 如 需 了 解 有 关 PDN 仿 真 的 更 多 信 息, 敬 请 参 阅 : 赛 灵 思 白 皮 书 : 使 用 S 参 数 模 型 仿 真 FPGA 电 源 完 整 性 (WP411) [ 参 考 资 料 54] PCB 设 计 的 具 体 考 虑 事 项 PCB 设 计 应 考 虑 到 与 FPGA 器 件 进 行 最 快 速 度 的 信 号 连 接 这 些 高 速 信 号 在 追 踪 走 线 结 构 过 孔 损 耗 和 串 扰 时 非 常 敏 感 对 于 多 层 PCB, 这 几 个 方 面 会 变 得 尤 为 突 出 为 了 达 到 较 高 的 速 度, 接 口 会 进 行 信 号 完 整 性 仿 真 采 用 更 先 进 的 PCB 材 料 或 改 变 走 线 几 何 图 形 重 新 设 计 开 发 板, 这 样 可 以 获 得 所 需 的 性 能 赛 灵 思 建 议 在 设 计 PCB 时 参 考 以 下 条 目 列 表 : 查 看 千 兆 位 收 发 器 (GT) 的 PCB 设 计 检 查 清 单 如 需 了 解 更 多 信 息, 敬 请 参 阅 相 关 器 件 的 收 发 器 用 户 指 南 Send Feedback 49

50 第 3 章 : 单 板 和 器 件 规 划 使 用 信 道 参 数 运 行 Spice 或 IBIS-AMI 仿 真 查 看 MIG 和 PCIe 设 计 指 南 如 需 了 解 更 多 信 息, 敬 请 参 阅 各 自 的 产 品 指 南 使 用 合 适 的 PCB 去 耦 电 容 器 如 需 了 解 更 多 信 息, 敬 请 参 阅 相 关 器 件 的 PCB 设 计 与 引 脚 规 划 指 南 运 行 噪 声 分 析 Vivado 设 计 套 件 I/O 规 划 器 可 对 给 定 管 脚 进 行 SSN 分 析 运 行 信 号 完 整 性 分 析 Vivado 工 具 可 以 为 设 计 编 写 IBIS 文 件 检 查 端 接 不 当 是 否 引 起 过 冲 或 下 冲 问 题 运 行 I/O 引 脚 规 划 中 的 内 置 Vivado DRC 运 行 设 计 的 功 耗 估 计 确 保 掌 握 总 功 耗 Vivado 设 计 套 件 具 有 功 耗 估 计 工 具 (XPE), 其 有 助 于 分 析 给 定 设 计 的 功 耗 确 定 开 发 板 是 否 具 有 合 适 的 配 电 系 统 (PDS) 查 看 原 理 图 建 议 如 需 了 解 更 多 信 息, 敬 请 参 阅 有 关 器 件 的 PCB 设 计 与 引 脚 规 划 指 南 时 钟 资 源 规 划 与 分 配 赛 灵 思 建 议 您 设 计 时 首 先 选 择 时 钟 资 源, 然 后 再 选 择 管 脚 您 的 时 钟 选 择 不 仅 可 以 确 定 特 定 的 管 脚, 而 且 还 可 以 支 配 逻 辑 布 局 正 确 的 时 钟 选 择 可 以 产 生 非 常 好 的 效 果 需 要 考 虑 : 约 束 创 建, 尤 其 与 时 钟 规 划 配 合 使 用 的 具 有 高 利 用 率 的 大 型 器 件 手 动 布 局 时 钟 资 源 ( 设 计 收 敛 可 能 需 要 ) 第 132 页 的 时 钟 详 细 介 绍 了 时 钟 资 源 ( 如 需 要 手 动 局 ) Send Feedback 50

51 第 3 章 : 单 板 和 器 件 规 划 选 择 时 钟 资 源 赛 灵 思 7 系 列 器 件 包 含 32 个 全 局 时 钟 缓 冲 器 (BUFG) 其 中 16 个 全 局 时 钟 缓 冲 器 位 于 FPGA 器 件 水 平 方 向 中 心 的 上 半 部 分, 而 另 外 16 个 则 位 于 水 平 方 向 中 心 的 下 半 部 分 芯 片 上 半 部 的 PLL 和 MMCM 只 能 连 接 到 水 平 方 向 中 心 以 上 的 16 个 BUFG 上 而 芯 片 下 半 部 的 PLL 和 MMCM 只 能 连 接 到 水 平 方 向 中 心 以 下 的 16 个 BUFG 上 选 择 PLL 或 MMCM 时, 请 尽 量 使 用 PLL, 因 为 其 具 有 更 严 格 的 抖 动 控 制 在 如 下 情 况 下 也 可 以 使 用 MMCM :(1) PLL 已 用 尽 ;(2) 所 需 的 高 级 功 能 MMCM 能 提 供, 而 PLL 不 具 备 BUFG 组 件 可 以 满 足 设 计 的 大 多 数 时 钟 要 求, 而 仅 需 要 较 少 的 : 时 钟 数 量 设 计 性 能 BUFG 组 件 易 于 通 过 综 合 调 用, 并 且 限 制 较 少, 支 持 大 多 数 普 通 时 钟 但 是, 如 果 时 钟 要 求 超 出 BUFG 组 件 的 容 量 或 数 量, 或 者 用 户 需 要 更 好 的 时 钟 特 性, 那 么 赛 灵 思 建 议 您 : 1. 根 据 可 用 的 时 钟 资 源 分 析 时 钟 需 求 2. 选 择 并 管 理 任 务 所 需 的 最 佳 资 源 如 需 了 解 有 关 其 它 时 钟 组 件 的 信 息, 敬 请 参 阅 第 132 页 的 时 钟 单 个 或 多 个 区 域 时 钟 引 脚 选 择 根 据 接 口 尺 寸, 您 可 以 决 定 使 用 支 持 单 个 时 钟 域 (SRCC) 的 引 脚 或 支 持 多 个 时 钟 域 (MRCC) 的 引 脚 如 果 接 口 涉 及 多 个 Bank, 则 必 须 使 用 MRCC 引 脚, 因 为 这 会 增 加 通 过 时 钟 网 络 的 延 迟 单 端 口 时 钟 必 须 连 接 至 时 钟 差 分 对 的 P 端 Send Feedback 51

52 第 3 章 : 单 板 和 器 件 规 划 I/O 规 划 设 计 流 程 Vivado 集 成 设 计 环 境 (IDE) 支 持 您 在 设 计 中 交 互 式 探 索 可 视 化 分 配 和 验 证 I/O 端 口 和 时 钟 逻 辑 该 环 境 不 仅 可 确 保 生 成 即 保 证 正 确 的 I/O 分 配, 而 且 还 可 对 与 内 部 晶 片 焊 盘 相 关 的 外 部 封 装 引 脚 提 供 可 视 化 您 可 可 视 化 通 过 器 件 的 数 据 流, 并 能 够 从 内 外 两 个 方 面 正 确 规 划 I/O 通 过 Vivado IDE 分 配 和 配 置 I/O 后 会 自 动 创 建 对 实 现 工 具 的 约 束 如 需 了 解 有 关 Vivado 设 计 套 件 I/O 和 时 钟 规 划 性 能 的 更 多 信 息, 敬 请 参 阅 以 下 资 料 : Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) [ 参 考 资 料 4] Vivado 设 计 套 件 教 程 :I/O 和 时 钟 规 划 (UG935) [ 参 考 资 料 27] Vivado 设 计 套 件 快 速 入 门 视 频 :I/O 规 划 简 介 确 定 何 时 需 要 最 终 I/O 配 置 PCB 板 制 造 进 度 安 排 一 般 会 指 出 何 时 需 要 最 终 FPGA I/O 配 置 尽 可 能 在 创 建 和 综 合 最 初 RTL 设 计 之 后 执 行 I/O 规 划 按 照 此 顺 序 是 因 为 综 合 网 表 具 有 时 钟 感 知, 并 且 已 在 结 构 层 面 定 义 了 逻 辑 这 种 序 列 支 持 许 多 与 时 钟 相 关 的 DRC, 可 确 保 I/O Bank 和 时 钟 逻 辑 分 配 得 当 设 计 还 可 以 通 过 实 现 以 确 保 :(1) 遵 守 所 有 I/O 和 时 钟 规 则 ;(2) 设 计 成 功 生 成 比 特 流 赛 灵 思 建 议 采 用 该 最 终 I/O 配 置 验 证 流 程 但 是, 并 不 是 所 有 的 设 计 周 期 允 许 占 用 这 么 长 时 间 通 常 必 须 在 具 备 可 综 合 RTL 之 前 定 义 好 I/O 配 置 虽 然 Vivado 工 具 支 持 基 于 Pre-RTL 的 I/O 规 划, 但 是 执 行 的 DRC 检 查 级 别 还 是 相 当 基 础 如 需 了 解 更 多 信 息, 敬 请 参 阅 PCB 设 计 指 南 中 的 选 定 器 件 和 相 关 I/O 硬 件 文 档 或 者, 通 过 采 用 I/O 标 准 和 引 脚 分 配 的 虚 拟 顶 层 设 计 可 以 帮 助 执 行 与 Bank 分 配 规 则 相 关 的 DRC Pre-RTL I/O 规 划 如 果 设 计 周 期 强 制 要 求 在 具 备 综 合 网 表 之 前 定 义 I/O 配 置, 则 请 务 必 确 保 符 合 所 有 相 关 的 规 则 Vivado 套 件 提 供 一 个 引 脚 规 划 工 程 环 境, 允 许 用 户 使 用 CSV 或 XDC 格 式 文 件 导 入 I/O 定 义 可 以 Send Feedback 52

53 第 3 章 : 单 板 和 器 件 规 划 使 用 定 义 的 端 口 方 向 创 建 一 个 伪 RTL 提 供 端 口 方 向 可 以 让 同 时 切 换 噪 声 (SSN) 分 析 更 加 准 确, 因 为 输 入 和 输 出 信 号 对 SSN 有 不 同 的 影 响 还 可 以 交 互 式 创 建 和 配 置 I/O 端 口 具 有 基 本 I/O BankDRC 检 查 规 则 参 阅 PCB 设 计 和 引 脚 规 划 用 户 指 南, 确 保 器 件 I/O 配 置 正 确 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) 中 的 Pre-RTL I/O 引 脚 规 划 章 节 [ 参 考 资 料 4] 基 于 网 表 的 I/O 规 划 在 设 计 周 期 中, 建 议 在 综 合 设 计 之 后 分 配 I/O 和 时 钟 逻 辑 约 束 在 网 表 中 创 建 时 钟 逻 辑 路 径 旨 在 实 现 约 束 分 配 I/O 和 时 钟 逻 辑 DRC 非 常 全 面 参 阅 PCB 设 计 和 引 脚 规 划 用 户 指 南, 确 保 器 件 I/O 配 置 正 确 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) 中 的 基 于 网 表 的 I/O 引 脚 规 划 章 节 [ 参 考 资 料 4] 定 义 替 代 器 件 在 初 始 规 划 阶 段, 通 常 很 难 预 测 给 定 设 计 的 最 终 器 件 尺 寸 在 设 计 周 期 中 添 加 或 删 除 逻 辑 将 导 致 需 要 更 改 器 件 尺 寸 Vivado 套 件 可 帮 助 您 定 义 替 代 器 件, 以 确 保 定 义 的 I/O 引 脚 配 置 与 所 有 选 定 的 器 件 兼 容, 但 前 提 是 采 用 相 同 封 装 重 要 提 示 : 器 件 必 须 位 于 同 一 封 装 中 若 要 低 风 险 地 移 植 设 计, 请 在 设 计 流 程 开 始 时 仔 细 规 划 以 下 工 程 : 器 件 选 择 管 脚 选 择 和 设 计 标 准 在 迁 移 至 同 一 封 装 中 的 较 大 或 较 小 型 器 件 时, 请 考 虑 以 下 方 面 : 管 脚 时 钟 和 资 源 管 理 如 需 了 解 更 多 信 息, 敬 请 访 问 : Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) 中 的 这 个 链 接 引 脚 分 配 合 理 的 引 脚 选 择 会 得 到 合 理 的 设 计 逻 辑 布 局 不 合 理 的 布 局 也 会 导 致 布 线 较 长, 功 耗 增 加, 以 及 性 能 降 低 管 脚 选 择 是 否 合 理 对 于 大 型 FPGA 器 件 来 说 尤 为 重 要 因 为 有 些 大 型 FPGA 器 件 会 涉 及 Send Feedback 53

54 第 3 章 : 单 板 和 器 件 规 划 多 个 晶 片, 而 展 开 的 管 脚 会 导 致 相 关 信 号 传 输 距 离 过 长 如 需 了 解 更 多 信 息, 敬 请 访 问 Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) 中 的 这 个 链 接 使 用 赛 灵 思 工 具 选 择 管 脚 赛 灵 思 工 具 可 以 辅 助 交 互 式 设 计 规 划 和 引 脚 选 择 这 些 工 具 仅 与 您 所 提 供 的 信 息 一 样 有 效 诸 如 Vivado 设 计 分 析 工 具 等 工 具 可 以 辅 助 管 脚 这 些 工 具 能 够 以 图 形 的 方 式 显 示 I/O 布 局, 并 显 示 时 钟 和 I/O 组 件 之 间 的 关 系, 另 外 还 具 有 设 计 规 则 检 查 (DRC) 功 能, 用 以 分 析 引 脚 选 择 当 出 现 某 个 设 计 版 本 时, 其 会 快 速 创 建 一 个 顶 层 布 局 规 划 图, 用 以 分 析 通 过 器 件 的 数 据 流 如 需 了 解 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906) [ 参 考 资 料 17] 所 需 的 信 息 为 了 使 工 具 能 够 有 效 地 工 作, 您 必 须 尽 可 能 多 地 提 供 有 关 I/O 特 性 和 拓 扑 的 信 息 您 必 须 规 定 电 气 特 性, 包 括 I/O 标 准 驱 动 和 斜 率 您 还 必 须 考 虑 包 括 时 钟 拓 扑 和 时 序 约 束 在 内 的 所 有 其 它 相 关 信 息 尤 其 是 时 钟 选 择, 其 可 能 对 管 脚 选 择 产 生 重 大 影 响, 反 之 亦 然 详 见 第 51 页 的 选 择 时 钟 资 源 如 需 了 解 有 关 为 I/O 规 定 电 气 特 性 的 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 :I/O 和 时 钟 规 划 (UG899) 中 的 定 义 和 配 置 I/O 端 口 [ 参 考 资 料 4] I/O 接 口 与 数 据 流 保 持 一 致 选 择 一 个 引 脚 位 置, 使 相 关 信 号 和 逻 辑 紧 密 相 连 并 靠 近 最 终 驱 动 的 负 载 在 为 单 板 布 局 或 之 后 ECO 修 改 优 化 管 脚 时, 请 记 住 这 一 规 则 例 如 对 于 源 同 步 接 口, 时 钟 必 须 与 数 据 位 于 同 一 个 Bank 上 考 虑 在 Vivado IDE 中 创 建 一 个 临 时 性 的 顶 层 布 局 规 划, 用 于 可 视 化 通 过 该 器 件 的 数 据 流 该 布 局 规 划 的 目 的 是 用 于 协 助 IO 分 配, 一 般 不 用 于 实 现, 除 非 处 于 某 些 其 它 原 因 的 考 虑 如 需 了 解 有 关 布 局 规 划 的 更 多 信 息, 敬 请 访 问 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906) 中 的 这 个 链 接 Send Feedback 54

55 第 3 章 : 单 板 和 器 件 规 划 管 脚 选 择 对 于 如 下 所 述 的 一 些 某 些 特 定 信 号, 赛 灵 思 建 议 谨 慎 进 行 管 脚 选 择 接 口 数 据 地 址 和 控 制 引 脚 将 相 同 的 接 口 数 据 地 址 和 控 制 引 脚 集 合 在 同 一 个 Bank 上 如 果 无 法 将 这 些 组 件 集 合 在 同 一 个 Bank 上, 则 请 将 其 分 配 在 邻 近 的 Bank 上 对 于 堆 叠 硅 片 互 联 (SSI) 器 件, 请 将 特 定 接 口 的 所 有 引 脚 集 合 在 同 一 个 SLR 上 接 口 控 制 信 号 将 以 下 接 口 控 制 信 号 放 在 所 控 制 的 数 据 总 线 中 间 ( 时 钟 启 用 复 位 和 选 通 ) 极 高 的 扇 出 涉 及 范 围 大 的 控 制 信 号 将 极 高 的 扇 出 涉 及 范 围 大 的 控 制 信 号 放 置 在 器 件 的 中 心 对 于 SSI 器 件, 请 将 SLR 中 的 信 号 放 在 所 驱 动 的 SLR 组 件 的 中 间 配 置 引 脚 要 想 设 计 一 个 高 效 的 系 统, 则 必 须 选 择 充 分 满 足 系 统 要 求 的 FPGA 配 置 模 式 需 要 考 虑 的 因 素 包 括 : 使 用 专 用 或 两 用 配 置 引 脚 每 个 配 置 模 式 专 用 于 特 定 的 FPGA 引 脚, 而 且 只 有 在 配 置 过 程 中 才 可 临 时 使 用 其 它 多 功 能 引 脚 配 置 完 成 后, 这 些 多 功 能 引 脚 被 释 放, 可 用 作 通 用 引 脚 使 用 配 置 模 式 对 FPGA I/OBank 进 行 电 压 限 制 为 不 同 的 配 置 引 脚 选 择 合 适 的 终 端 对 配 置 引 脚, 使 用 建 议 的 上 拉 或 下 拉 电 阻 建 议 : 尽 管 配 置 钟 速 度 较 慢, 但 是 请 在 单 板 上 进 行 信 号 完 整 性 分 析, 以 确 保 信 号 无 干 扰 Send Feedback 55

56 第 3 章 : 单 板 和 器 件 规 划 有 多 种 不 同 的 配 置 选 项 虽 然 选 项 灵 活 多 样, 但 是 每 个 系 统 一 般 都 有 一 个 最 佳 的 解 决 方 案 在 选 择 最 佳 配 置 选 项 时, 请 考 虑 以 下 方 面 : 设 置 速 度 成 本 复 杂 性 请 查 看 第 80 页 的 配 置, 如 需 了 解 有 关 FPGA 配 置 选 择 的 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)[ 参 考 资 料 20] 存 储 器 接 口 存 储 器 接 口 生 成 器 (MIG) 可 帮 助 您 : 为 新 设 计 选 择 Bank, 或 设 定 现 有 管 脚 还 可 通 过 手 动 修 改 从 上 述 机 制 中 获 得 的 管 脚 来 创 建 新 的 管 脚 如 果 修 改 由 工 具 创 建 的 管 脚 或 设 定 自 己 的 管 脚, 则 管 脚 需 要 通 过 MIG 验 证 为 新 建 修 改 或 现 有 的 管 脚 生 成 RTL 和 约 束 赛 灵 思 Zynq-7000 SoC 和 7 系 列 器 件 存 储 器 接 口 用 户 指 南 (UG586)[ 参 考 资 料 52] 和 基 于 LogiCORE IP UltraScale 架 构 的 FPGA 存 储 器 接 口 解 决 方 案 产 品 指 南 (PG150)[ 参 考 资 料 53] 包 含 设 计 和 管 脚 指 南 请 确 保 采 纳 指 南 中 建 议 的 走 线 长 度, 核 实 所 使 用 的 终 端 是 否 准 确 无 误, 并 验 证 MIG IP 中 的 管 脚 重 要 提 示 : 使 用 MIG 生 成 管 脚 千 兆 位 收 发 器 (GT) 千 兆 位 收 发 器 (GT) 具 有 特 定 的 管 脚 要 求 假 如 GT 采 用 相 同 或 邻 近 的 quads, 则 您 可 以 在 多 个 GT 之 间 共 享 参 考 时 钟 赛 灵 思 建 议 您 使 用 GT 向 导 生 成 内 核 有 关 管 脚 建 议, 敬 请 参 阅 产 品 指 南 高 速 I/O HP( 高 性 能 ) 和 HR( 大 范 围 )Bank 在 收 发 信 号 的 速 度 上 存 在 差 异 根 据 所 需 的 I/O 速 度, 在 HP 或 HR Bank 间 做 出 选 择 Send Feedback 56

57 第 3 章 : 单 板 和 器 件 规 划 内 部 参 考 电 压 和 DCI 级 联 约 束 根 据 DCI 级 联 (DCI Cascade) 和 内 部 参 考 电 压 (VREF) 的 设 置, 您 可 以 释 放 用 于 常 规 I/O 的 引 脚 这 些 设 置 还 可 以 确 保 运 行 相 关 的 DRC 规 则 检 查, 以 验 证 约 束 的 合 法 性 如 需 了 解 更 多 信 息, 敬 请 参 阅 7 系 列 FPGA SelectIO 资 源 用 户 指 南 (UG471) [ 参 考 资 料 45] ( 或 者 与 您 的 器 件 相 关 的 SelectI/ O 资 源 用 户 指 南 ) CCIO 和 CMT 的 使 用 平 衡 器 件 的 上 下 两 部 分 之 间 的 CCIO 和 CMT 使 用, 从 而 平 衡 对 上 下 BUFG 组 件 的 访 问 对 于 SSI 器 件, 在 SLR 中 根 据 其 它 SLR 组 件 平 衡 上 下 CCIO 组 件 或 CMT 组 件 SSI 考 虑 事 项 在 为 特 定 SLR 中 的 组 件 规 划 管 脚 时, 请 将 引 脚 放 在 同 一 个 SLR 中 例 如, 将 器 件 的 DNA 信 息 作 为 外 部 接 口 的 一 部 分 时, 请 将 该 接 口 的 引 脚 放 在 SLR1 中, 也 就 是 DEVICE_DNA 所 在 的 主 SLR 中 其 它 考 虑 包 括 如 下 : 把 特 定 接 口 的 全 部 引 脚 分 配 到 相 同 SLR 中 对 用 于 驱 动 多 个 SLR 中 的 组 件 的 信 号, 应 将 这 些 信 号 布 局 在 中 间 的 SLR 中 跨 各 SLR 均 衡 分 配 CCIO 或 CMT 组 件 减 少 SLR 交 错 接 口 带 宽 验 证 创 建 小 型 连 接 设 计, 用 以 验 证 FPGA 上 的 每 个 接 口 这 些 小 型 设 计 只 能 运 行 特 定 硬 件 接 口 还 无 需 创 建 内 部 的 设 计 每 个 硬 件 接 口 都 应 创 建 单 独 的 设 计, 并 且 应 该 用 于 在 全 带 宽 及 所 需 的 速 度 下 运 行 硬 件 可 以 使 用 FPGA 内 部 环 回 或 简 易 检 查 器 验 证 数 据 是 否 以 所 需 的 速 度 成 功 传 输 由 于 单 板 上 的 FPGA 接 口 正 在 设 计 中, 所 以 这 些 设 计 可 以 用 于 验 证 接 口 和 单 板 是 否 能 够 以 所 需 的 速 度 运 行 这 些 小 型 测 试 设 计 可 以 通 过 Vivado 快 速 实 现 该 设 计 流 程 还 可 根 据 布 局 合 规 性 和 接 口 时 序 要 求 严 格 验 证 所 选 的 I/O 由 于 引 脚 位 置 已 经 最 终 确 定 下 来, 所 以 可 以 检 验 出 任 何 潜 在 的 DRC 或 时 序 问 题 对 于 某 些 接 口 IP 核,Vivado 套 件 可 以 提 供 测 试 设 计, 例 如 针 对 SerDes 的 IBET, 或 PCIe 的 实 例 设 计 Send Feedback 57

58 第 3 章 : 单 板 和 器 件 规 划 随 后, 这 些 相 同 的 设 计 还 可 以 用 于 系 统 性 地 验 证 每 个 硬 件 组 件, 然 后 再 验 证 整 个 设 计 的 比 特 流 SSI 超 级 逻 辑 区 域 (SLR) 硅 中 介 层 超 长 线 路 (SLL) 布 线 超 级 逻 辑 区 域 (SLR) 超 级 逻 辑 区 域 (SLR) 是 SSI 器 件 中 的 单 个 FPGA 晶 片 Slice 有 源 电 路 每 个 SLR 都 包 含 大 多 数 赛 灵 思 FPGA 器 件 常 见 的 有 源 电 路 该 电 路 包 含 大 量 的 : 六 输 入 LUT 寄 存 器 I/O 组 件 千 兆 位 收 发 器 (GT) 模 块 存 储 器 DSP 模 块 其 它 模 块 SLR 组 件 多 个 SLR 组 件 组 装 成 一 个 SSI 器 件 一 般 来 说,SLR 的 水 平 长 度 大 于 其 垂 直 长 度 SLR 组 件 被 垂 直 堆 叠 在 硅 中 介 层 图 3-1 : 单 个 SSI SLR Send Feedback 58

59 第 3 章 : 单 板 和 器 件 规 划 垂 直 堆 叠 多 个 SLR 组 件, 用 以 创 建 SSI 器 件 底 部 SLR 是 SLR0 SLR 组 件 序 号 随 着 位 置 垂 直 上 升 而 递 增 例 如,XC7V2000T 器 件 中 有 四 个 SLR 组 件 底 部 SLR 为 SLR0 SLR0 的 上 一 层 SLR 为 SLR1 SLR1 的 上 一 层 SLR 为 SLR2 顶 部 SLR 为 SLR3 赛 灵 思 工 具 ( 包 括 PlanAhead 设 计 分 析 工 具 ) 可 以 在 图 形 用 户 界 面 (GUI) 和 报 告 中 清 楚 地 识 别 SLR 组 件 SLR 术 语 了 解 目 标 器 件 的 SLR 术 语 对 以 下 方 面 非 常 重 要 : 引 脚 选 择 布 局 规 划 分 析 时 序 及 其 它 报 告 确 认 逻 辑 所 在 的 位 置 以 及 逻 辑 的 源 端 和 目 的 端 Send Feedback 59

60 第 3 章 : 单 板 和 器 件 规 划 图 3-2 :Vivado 工 具 清 楚 呈 现 XC7V2000T 器 件 Virtex-7 器 件 系 列 中 的 SLR 组 件 使 用 两 个 不 同 的 SLR 组 件 创 建 Virtex -7 器 件 系 列 : XC7V2000T 器 件 XC7VX1140T 和 Virtex-7 HT 器 件 系 列 Send Feedback 60

61 第 3 章 : 单 板 和 器 件 规 划 XC7V2000T 器 件 XC7V2000T 器 件 共 享 同 一 类 型 的 SLR, 其 中 包 括 : 近 500, 000 个 逻 辑 单 元 下 列 组 件 的 组 合 : I/O BlockRAM DSP 模 块 GTX 收 发 器 其 它 模 块 XC7VX1140T 和 Virtex-7 HT 器 件 系 列 XC7VX1140T 器 件 和 Virtex-7 HT 器 件 系 列 采 用 的 SLR 组 件 包 括 : 近 290,000 个 逻 辑 单 元 GTX 收 发 器 大 量 Block RAM 和 DSP 组 件, 比 XC7V2000T SLR 组 件 更 多 表 3-1 : 每 类 Virtex-7 SLR 中 的 关 键 资 源 Virtex-7 T SLR Virtex-7 XT/HT SLR 逻 辑 单 元 488, ,800 Slice 76,350 44,500 Block RAM DSP Slice 时 钟 区 域 /MMCM 6 6 I/O 收 发 器 SLR 之 间 互 联 13,440 10,560 Send Feedback 61

62 第 3 章 : 单 板 和 器 件 规 划 硅 中 介 层 硅 中 介 层 是 SSI 器 件 中 的 无 源 层 该 层 在 SLR 组 件 之 间 为 以 下 工 程 布 线 : 配 置 全 局 时 钟 一 般 互 联 硅 中 介 层 具 有 : 电 源 和 接 地 配 置 晶 片 内 连 接 其 它 所 需 连 接 该 有 源 电 路 位 于 SLR 中 硅 中 介 层 通 过 硅 通 孔 (TSV) 组 件 与 封 装 基 片 相 结 合 这 些 组 件 将 FPGA 器 件 的 电 路 连 接 到 封 装 球 栅 上 硅 中 介 层 是 SLR 组 件 和 封 装 基 片 之 间 的 管 道 可 以 将 以 下 工 程 连 接 至 器 件 封 装 上 : 电 源 和 接 地 连 接 I/O 组 千 兆 位 收 发 器 (GT) 图 3-3 : 硅 中 介 层 Send Feedback 62

63 第 3 章 : 单 板 和 器 件 规 划 超 长 线 路 (SLL) 布 线 超 长 线 路 (SLL) 布 线 可 以 为 从 一 个 SLR 发 送 至 另 一 个 SLR 的 信 号 提 供 一 般 连 接 SLL 布 线 位 于 硅 中 介 层 中 SLL 布 线 通 过 直 接 与 SLR 互 联 模 块 相 连 的 微 凸 块 结 点 连 接 至 SLR 组 件 SLL 布 线 与 SLR 中 的 Vertical 12 布 线 的 中 心 相 连 Virtex-7 器 件 中 的 SLL 组 件 在 Virtex-7 器 件 中, 每 个 SLL 组 件 的 垂 直 长 度 为 50 个 互 联 模 块 ( 相 当 于 50 个 Slice 组 件 ) 这 与 赛 灵 思 7 系 列 FPGA 器 件 中 一 个 时 钟 区 域 的 高 度 完 全 相 等 因 此, 在 SLR 相 邻 的 时 钟 区 域 中, 在 时 钟 区 域 的 每 个 互 联 模 块 上 都 有 个 与 相 邻 SLR 相 连 的 互 联 点 表 3-2 : 每 个 SLR 交 错 的 SLL 组 件 Virtex-7 器 件 SLL 组 件 7V2000T 13,440 7VX1140T 10,560 Send Feedback 63

64 第 3 章 : 单 板 和 器 件 规 划 7VX1140T 器 件 的 SLL 组 件 数 较 少, 因 为 它 拥 有 更 多 DSP 和 块 存 储 器 列 这 些 列 可 在 同 一 给 定 区 域 内 取 代 更 多 互 联 模 块 图 3-4 :SSI 器 件 中 交 错 式 SLL 连 接 SLR 组 件 之 间 的 比 例 以 及 间 隙 大 小 仅 供 说 明 实 际 间 隙 相 对 小 得 多 SLL 组 件 连 接 至 位 于 Vertical 12 Long Line 的 中 心 点 的 SLR, 该 垂 直 长 线 跨 越 SLR 中 的 12 个 互 联 模 块 Send Feedback 64

65 第 3 章 : 单 板 和 器 件 规 划 这 种 连 接 方 式 提 供 三 个 最 佳 位 置, 供 SLL 连 接 至 SLL 或 将 SLL 从 SLR 连 接 至 相 邻 的 SLR, 这 种 连 接 方 式 让 布 局 更 灵 活, 从 而 减 少 对 性 能 或 功 耗 的 影 响 图 3-5 :SLR 中 SLL 的 连 接 图 传 输 限 制 SLL 信 号 是 SLR 组 件 之 间 的 唯 一 数 据 连 接 下 列 信 号 不 在 SLR 组 件 间 传 输 : 进 位 链 DSP 级 联 Block RAM 地 址 级 联 其 它 专 用 连 接, 如 DCI 级 联 这 些 工 具 通 常 会 考 虑 这 种 传 输 限 制 为 确 保 设 计 思 路 正 确 且 能 达 到 您 的 设 计 目 标, 在 建 立 超 长 DS 级 联 时 也 务 必 考 虑 传 输 限 制, 并 手 动 将 该 逻 辑 电 路 布 置 到 SLR 边 界 附 近 ; 为 设 计 设 定 管 脚 时 也 应 如 此 Send Feedback 65

66 第 3 章 : 单 板 和 器 件 规 划 改 成 FPGA 电 源 系 统 规 划 PCB 板 时, 请 务 必 考 虑 功 耗 : FPGA 器 件 以 及 用 户 设 计 提 出 了 系 统 电 源 与 散 热 要 求 电 气 及 物 理 因 素 可 影 响 电 源 以 及 FPGA 器 件 的 散 热, 从 而 大 大 影 响 器 件 性 能 因 此, 您 必 须 了 解 FPGA 器 件 的 用 电 和 散 热 要 求, 并 在 单 板 设 计 过 程 中 考 虑 到 这 些 要 求 FPGA 器 件 的 供 电 路 径 FPGA 器 件 要 求 使 用 多 个 电 源 供 电 其 中 部 分 电 源 必 须 按 特 定 顺 序 排 列 可 考 虑 用 电 源 监 测 或 顺 序 电 路 为 FPGA 器 件 和 GT 以 及 开 发 板 上 的 其 它 有 源 组 件 提 供 正 确 的 加 电 顺 序 在 较 复 杂 的 环 境 下, 可 使 用 微 控 制 器 或 系 统 以 及 SMBUS 或 PMBUS 等 电 源 管 理 总 线 来 控 制 电 源 和 复 位 进 程 关 于 启 动 / 关 闭 顺 序 的 详 细 信 息, 敬 请 参 阅 器 件 数 据 手 册 不 同 FPGA 资 源 均 通 过 单 独 的 电 源 进 行 供 电 这 样 可 以 让 不 同 资 源 在 不 同 的 电 压 下 工 作, 以 提 高 性 能 或 增 加 信 号 强 度, 同 时 避 免 产 生 噪 声 和 寄 生 效 应 FPGA 器 件 的 功 耗 组 成 每 个 电 源 的 总 供 电 量 均 由 三 部 分 组 成 器 件 静 态 ( 泄 漏 ) 功 耗 器 件 运 行 及 编 程 所 消 耗 的 功 率 其 中 很 大 部 分 功 耗 是 由 于 维 持 器 件 配 置 所 用 晶 体 管 的 泄 漏 所 致 设 计 静 态 功 耗 器 件 配 置 好 但 未 处 于 活 动 状 态 下 时 消 耗 的 其 它 连 续 功 率 其 中 包 括 来 自 I/O 终 端 时 钟 管 理 器 以 及 无 论 设 计 活 动 运 行 与 否, 只 要 使 用 便 会 耗 电 的 其 它 电 路 等 所 消 耗 的 静 态 电 流 Send Feedback 66

67 第 3 章 : 单 板 和 器 件 规 划 设 计 动 态 功 耗 由 设 计 活 动 消 耗 的 其 它 功 率 这 一 功 耗 会 随 着 设 计 活 动 的 进 行 发 生 变 化 其 功 耗 大 小 还 取 决 于 电 压 以 及 使 用 的 逻 辑 电 路 和 布 线 资 源 功 耗 路 径 供 给 器 件 的 总 电 力 会 通 过 多 种 路 径 输 入 或 者 输 出 到 FPGA, 包 括 热 能 和 片 外 功 耗 热 能 热 功 耗 是 FPGA 内 部 消 耗 的 功 率, 表 现 为 热 的 产 生, 产 生 的 热 量 会 使 器 件 的 结 温 升 高 然 后 这 部 分 热 量 会 传 递 到 环 境 中 因 此 单 板 上 必 须 设 计 散 热 路 径, 以 确 保 将 结 温 保 持 在 器 件 工 作 范 围 内 片 外 功 耗 片 外 功 耗 是 从 电 源 流 经 FPGA 电 源 引 脚, 然 后 流 出 I/O, 最 后 被 外 部 单 板 组 件 消 耗 的 电 流 FPGA 器 件 提 供 的 电 流 一 般 会 被 I/O 终 端 LED 或 其 它 芯 片 的 I/O 缓 冲 器 等 片 外 组 件 消 耗 这 些 功 耗 不 会 使 FPGA 器 件 自 身 的 结 温 上 升 然 而, 必 须 设 计 电 源 线 和 接 地 线 来 传 输 这 部 分 电 力 功 率 模 式 从 加 电 到 断 电,FPGA 器 件 要 经 过 多 个 电 源 阶 段, 并 伴 有 不 同 的 功 率 需 求 : 加 电 功 率 配 置 功 率 待 机 功 率 有 功 功 率 加 电 加 电 功 率 是 FPGA 器 件 首 次 加 电 时 发 生 的 瞬 时 峰 值 电 流 电 压 不 同 时, 该 电 流 强 度 也 会 发 生 变 化 且 电 流 强 度 取 决 于 FPGA 器 件 的 结 构 电 源 上 升 到 额 定 电 压 的 能 力, 以 及 器 件 的 工 作 条 件 ( 比 如 温 度 以 及 不 同 电 源 之 间 的 排 序 ) Send Feedback 67

68 第 3 章 : 单 板 和 器 件 规 划 在 新 型 FPGA 器 件 架 构 中, 不 用 担 心 峰 值 电 流 的 问 题, 因 为 它 遵 循 了 适 用 的 上 电 顺 序 指 南 配 置 功 率 配 置 功 率 是 指 在 器 件 配 置 期 间 所 需 的 功 率 配 置 功 率 通 常 低 于 有 效 功 率, 因 此, 除 非 您 的 使 用 过 程 功 耗 极 低, 否 则 该 瞬 态 不 会 影 响 供 电 需 求 待 机 功 率 待 机 功 率 ( 又 称 设 计 静 态 功 率 ) 是 器 件 按 设 计 配 置 后 未 对 其 施 加 任 何 外 部 活 动 或 者 未 产 生 任 何 内 部 活 动 时 提 供 的 功 率 待 机 功 率 是 设 计 运 行 时 电 源 应 提 供 的 最 小 连 续 功 率 有 功 功 率 有 功 功 率 ( 又 称 设 计 动 态 功 率 ) 是 器 件 运 行 应 用 程 序 时 所 需 功 率 有 功 功 率 包 括 待 机 功 率 ( 全 部 静 态 功 率 ) 以 及 因 设 计 活 动 ( 设 计 动 态 功 率 ) 产 生 的 功 率 有 功 功 率 是 瞬 时 发 生 的, 且 根 据 输 入 数 据 模 式 以 及 设 计 内 部 活 动 的 不 同 每 个 时 钟 周 期 变 化 一 次 影 响 功 耗 的 环 境 因 素 除 自 身 设 计 外, 功 耗 还 取 决 于 诸 多 因 素 以 下 因 素 会 影 响 器 件 的 电 压 和 结 温, 进 而 响 功 耗 影 响 功 耗 的 环 境 因 素 包 括 : 供 电 策 略 冷 却 策 略 供 电 策 略 供 电 策 略 包 括 : 稳 压 器 技 术 去 耦 网 络 性 能 FPGA 器 件 选 择 Send Feedback 68

69 第 3 章 : 单 板 和 器 件 规 划 稳 压 器 技 术 现 有 多 种 不 同 稳 压 器 技 术 来 平 衡 输 入 输 出 压 差 响 应 时 间 最 大 电 流 以 及 输 出 电 压 精 度 限 制 去 耦 网 络 性 能 除 了 在 短 暂 的 大 功 率 需 求 时 段 为 FPGA 器 件 供 电 外, 还 用 一 个 高 效 去 耦 电 路 减 少 来 自 稳 压 器 的 电 流 浪 涌 请 求, 并 改 善 稳 压 器 的 整 体 功 耗 FPGA 器 件 选 择 不 同 FPGA 器 件 需 要 不 同 的 电 源 数 目 和 电 压 水 平 所 有 赛 灵 思 FPGA 器 件 都 在 资 源 性 能 与 功 耗 方 面 进 行 了 权 衡 选 择 最 能 满 足 您 要 求 的 器 件 过 度 关 注 一 个 特 性 ( 比 如 性 能 ) 会 对 另 一 个 特 性 ( 比 如 功 耗 ) 形 成 负 面 影 响 选 择 能 够 支 持 较 低 内 核 电 压 或 较 低 电 压 I/O 接 口 的 器 件 可 降 低 功 耗 冷 却 策 略 冷 却 策 略 包 括 : 系 统 环 境 散 热 器 封 装 选 择 组 件 布 局 系 统 环 境 系 统 机 箱 的 形 状 和 尺 寸 ( 以 及 环 境 温 度 ) 是 影 响 产 生 的 热 量 向 环 境 扩 散 的 主 要 因 素 散 热 器 散 热 器 的 尺 寸 形 状 导 热 胶 和 安 装 以 及 最 后 的 相 关 强 制 气 流 系 统 决 定 了 从 FPGA 器 件 提 取 热 量 的 多 少 封 装 选 择 除 成 本 和 号 完 整 性 外, 封 装 的 尺 寸 材 料 以 及 与 单 板 的 连 接 都 会 影 响 产 生 的 热 量 从 顶 部 和 底 部 向 Send Feedback 69

70 第 3 章 : 单 板 和 器 件 规 划 环 境 传 递 的 方 式 散 热 器 和 开 发 板 之 间 的 接 触 面 越 大, 热 阻 就 越 小 组 件 布 局 相 对 于 系 统 机 箱 及 其 它 开 发 板 材 料 装 配 和 组 件 的 组 件 布 局 会 影 响 热 量 向 环 境 传 递 的 方 式 比 如, 障 碍 物 可 能 会 减 少 FPGA 器 件 附 近 的 气 流 或 使 气 流 转 向 紧 挨 FPGA 器 件 如 有 其 它 可 产 生 热 量 的 组 件, 则 该 组 件 可 能 会 使 FPGA 器 件 附 近 的 气 流 温 度 上 升, 并 降 低 散 热 器 的 散 热 效 果, 或 通 过 开 发 板 材 料 将 热 传 导 至 FPGA 器 件 内 功 率 模 型 精 度 嵌 入 在 工 具 中 的 特 性 描 述 数 据 的 准 确 度 会 随 着 时 间 发 生 变 化 以 准 确 反 映 器 件 的 可 用 性 以 及 生 产 工 艺 的 成 熟 度 这 一 准 确 度 认 定 (designation) 会 显 示 在 特 性 描 述 字 段 器 件 系 列 的 特 性 描 述 数 据 会 按 以 下 顺 序 发 生 变 化 : 早 期 器 件 认 定 初 步 器 件 认 定 生 产 器 件 认 定 建 议 : 用 最 新 版 赛 灵 思 功 耗 估 计 器 (XPE) 反 映 最 新 可 用 数 据 早 期 器 件 认 定 带 早 期 器 件 认 定 的 器 件 拥 有 数 据 模 型, 其 数 据 模 型 主 要 以 对 早 期 批 量 生 产 器 件 的 仿 真 结 果 或 测 量 值 为 基 础 预 先 数 据 一 般 会 在 产 品 推 出 一 年 内 提 供 尽 管 可 能 出 现 漏 报 或 多 报, 但 认 为 预 先 数 据 相 对 稳 定 和 保 守 一 般 认 为 预 先 数 据 的 精 度 低 于 初 步 数 据 和 生 产 数 据 赛 灵 思 建 议 您 与 FAE( 现 场 应 用 工 程 师 ) 探 讨 最 新 数 据 初 步 器 件 认 定 初 步 器 件 认 定 是 以 完 整 的 早 期 生 产 芯 片 为 基 础 几 乎 描 述 了 器 件 结 构 中 所 有 模 块 的 特 性 与 预 先 数 据 相 比, 它 对 功 耗 报 告 的 准 确 性 得 到 了 提 高 生 产 器 件 认 定 在 对 某 个 器 件 列 的 成 员 的 足 够 量 产 芯 片 进 行 特 性 描 述 以 提 供 多 个 生 产 批 量 间 的 全 面 功 耗 关 系, 之 Send Feedback 70

71 第 3 章 : 单 板 和 器 件 规 划 后 发 布 生 产 器 件 认 定 带 有 生 产 特 性 描 述 数 据 的 器 件 模 型 预 计 未 来 不 会 发 生 变 化 FPGA 器 件 功 耗 及 整 体 系 统 设 计 流 程 从 工 程 构 思 到 完 成, 需 要 考 虑 诸 多 不 同 功 耗 影 响 因 素 暂 时 忽 略 所 有 其 它 问 题 ( 包 括 功 能 性 能 成 本 和 上 市 时 间 ), 功 耗 相 关 任 务 可 分 为 以 下 几 类 : 物 理 域, 包 括 机 箱 开 发 板 外 形 电 力 输 送 系 统 以 及 热 功 耗 系 统 功 能 域, 包 括 面 积 性 能, 以 及 I/O 接 口 信 号 完 整 性 一 般 来 说, 在 设 计 流 程 初 期 会 先 选 择 硬 件 并 确 定 硬 件 尺 寸 以 便 对 单 板 进 行 原 型 设 计 在 设 计 流 程 中 还 可 以 早 早 估 算 出 FPGA 器 件 功 能 对 功 耗 的 影 响, 然 后 随 着 设 计 逻 辑 的 推 进 对 其 进 行 优 化 图 3-6 :PCB 板 级 规 划 流 程 中 的 功 耗 管 理 就 说 明 了 一 种 典 型 的 系 统 设 计 流 程, 并 强 调 了 与 功 耗 相 关 的 决 策 点 图 3-6 :PCB 规 划 流 程 中 的 功 耗 管 理 当 您 选 择 器 件 和 相 关 冷 却 部 件 时,FPGA 逻 辑 尚 未 到 位 需 要 通 过 某 种 方 法 谨 慎 地 估 算 FPGA 的 逻 辑 功 耗 要 求 赛 灵 思 建 议 使 用 可 与 目 前 设 计 相 当 早 期 设 计 的 逻 辑 数 据 进 行 估 算 您 可 以 用 您 的 Send Feedback 71

72 第 3 章 : 单 板 和 器 件 规 划 最 佳 估 计 值 输 入 设 计 数 据, 之 后 再 修 改 该 数 据 关 于 将 早 期 设 计 的 数 据 导 入 XPE 的 信 息, 敬 请 参 阅 : 第 213 页 的 实 现 设 计 赛 灵 思 强 烈 建 议 进 行 热 模 拟 可 向 赛 灵 思 索 要 热 模 型 这 样 可 以 提 高 准 确 度, 同 时 提 供 ThetaJA, 可 在 XPE 中 设 定 该 ThetaJA 如 果 无 法 进 行 热 模 拟, 请 猜 想 最 有 可 能 的 环 境 条 件, 比 如 散 热 器 和 气 流 如 果 XPE 估 计 的 功 耗 超 出 了 功 耗 预 算, 则 可 能 需 要 对 设 计 进 行 优 化 以 符 合 功 耗 要 求 如 果 功 耗 估 计 值 在 预 算 内, 但 TJA 超 过 允 许 的 最 高 值, 请 考 虑 其 它 冷 却 技 术 ( 如 散 热 器 或 气 流 ) 根 据 这 些 新 的 工 况 参 数 重 新 用 XPE 进 行 估 算, 因 为 这 些 数 值 还 会 影 响 功 耗 系 统 级 冷 却 策 略 冷 却 策 略 可 确 保 让 器 件 产 生 的 热 量 被 环 境 提 取 和 吸 收 通 常 在 设 计 初 期 会 制 定 如 下 冷 却 策 略, 如 增 加 气 流, 降 低 环 境 温 度, 以 及 使 用 散 热 器 ( 或 更 大 的 散 热 器 ), 或 选 择 其 它 稳 压 器 但 在 设 计 后 期, 这 些 策 略 的 可 行 性 会 有 所 降 低 这 些 策 略 会 明 显 影 响 器 件 的 静 态 功 耗 系 统 级 供 电 策 略 电 压 对 静 态 功 耗 和 动 态 功 耗 均 有 较 大 影 响 主 动 控 制 电 压 水 平 可 确 保 向 器 件 施 加 想 要 的 电 压 使 用 开 关 式 稳 压 器 开 关 式 稳 压 器 比 线 性 稳 压 器 具 有 更 高 的 电 源 效 率, 但 需 要 使 用 更 多 的 元 件 使 用 可 调 式 稳 压 器 如 用 同 一 电 源 给 多 个 FPGA 器 件 供 电, 则 让 感 应 电 压 尽 可 能 接 近 FPGA 器 件 以 及 功 耗 最 大 的 器 件 选 择 具 有 严 格 公 差 的 稳 压 器 具 有 严 格 公 差 的 稳 压 器 可 确 保 向 器 件 提 供 稳 定 的 电 压 Send Feedback 72

73 第 3 章 : 单 板 和 器 件 规 划 测 量 功 耗 与 温 度 本 节 将 简 要 介 绍 何 测 量 FPGA 器 件 的 功 耗 和 散 热 其 中 一 些 方 法 会 占 用 内 部 FPGA 资 源 而 其 它 方 法 会 使 用 单 板 或 外 部 组 件 有 些 应 用 需 要 在 部 署 后 积 极 监 测 和 调 整 功 耗 与 温 度 而 其 它 应 用 会 在 原 型 设 计 和 验 证 阶 段 在 实 验 室 中 运 用 这 些 测 量 方 法 功 耗 测 量 方 法 功 耗 测 量 方 法 包 括 : 使 用 电 流 检 测 电 阻 使 用 高 级 稳 压 器 和 数 字 电 源 控 制 器 执 行 机 载 监 控 使 用 单 独 的 电 压 使 用 电 流 检 测 电 阻 将 一 个 电 流 检 测 电 阻 串 联 到 稳 压 器 输 出 端 和 FPGA 器 件 之 间, 形 成 小 幅 电 压 降, 根 据 欧 姆 定 律, 电 压 降 与 流 经 的 电 流 成 比 例 关 系 通 过 XADA 测 量 此 处 电 压, 便 能 计 算 出 供 给 FPGA 器 件 的 电 流 如 需 了 解 如 何 连 接 以 获 得 所 需 测 量 精 度, 敬 请 参 阅 : 7 系 列 FPGA 与 Zynq-7000 All Programmable SoC XADC 双 12 位 1 MSPS 模 数 转 换 器 用 户 指 南 (UG480)[ 参 考 资 料 49]( 又 称 XADC 用 户 指 南 ) 使 用 高 级 稳 压 器 和 数 字 电 源 控 制 器 最 新 评 估 套 件 包 括 高 级 稳 压 器 和 数 字 电 源 控 制 器, 您 可 以 用 数 字 电 源 控 制 器 捕 捉 稳 压 器 的 输 出 电 流 和 电 压, 然 后 将 捕 捉 到 的 信 息 通 过 USB 接 口 发 送 至 监 控 计 算 机 这 是 最 简 便 电 源 监 控 方 式 大 多 数 赛 灵 思 开 发 板 都 集 成 有 TI UCD92xx 控 制 器, 可 用 PMBus(I2C)-USB 接 口 模 块 在 PC 上 通 过 Fusions Digital Power Designer 软 件 访 问 该 控 制 器 执 行 机 载 监 控 赛 灵 思 7 系 列 器 件 具 有 内 部 传 感 器 以 及 至 少 一 个 模 数 转 换 器, 可 测 量 供 电 电 压 和 器 件 温 度 通 过 ChipScope 实 用 程 序 可 实 时 访 问 JTAG, 从 而 测 量 器 件 配 置 前 后 不 同 的 供 电 压 或 器 件 结 温 ( 见 第 75 页 的 图 3-7 : 采 用 ChipScope 监 控 电 压 和 结 温 ) 您 还 可 以 将 用 您 的 代 码 对 系 统 监 控 器 或 XADC 组 Send Feedback 73

74 第 3 章 : 单 板 和 器 件 规 划 件 进 行 实 例 化, 以 便 在 您 的 FPGA 应 用 中 访 问 这 些 测 量 值 使 用 单 独 的 电 压 如 有 可 能, 给 每 个 供 电 电 压 设 置 单 独 的 电 压 如 将 多 个 电 压 捆 绑 在 一 起, 则 在 这 些 电 压 间 测 量 功 耗 时 请 记 录 并 做 出 备 注 热 测 量 方 法 热 测 量 方 法 包 括 : 执 行 外 部 监 控 执 行 机 载 监 控 执 行 外 部 监 控 由 于 器 件 封 装 会 阻 止 接 入 芯 片, 因 此 无 法 直 接 测 量 结 温 可 通 过 测 量 封 装 散 热 器 和 热 电 耦 的 其 它 位 置 的 温 度 来 估 算 结 温 还 可 以 用 热 成 像 相 机 来 可 视 化 器 件 温 度 及 其 与 邻 近 元 件 和 大 环 境 之 间 的 散 热 作 用 执 行 机 载 监 控 还 可 以 用 测 量 功 的 方 法 来 测 量 热 量 您 可 以 在 器 件 配 置 前 后 使 用 ChipScope 进 行 测 量 见 图 3-7 : 使 用 ChipScope 监 控 电 压 和 结 温 您 还 可 以 在 设 计 中 用 系 统 监 控 器 /XADC 原 语 来 读 取 器 件 结 温 Send Feedback 74

75 第 3 章 : 单 板 和 器 件 规 划 图 3-7 : 采 用 ChipScope 监 控 电 压 和 结 温 功 耗 与 温 度 测 量 方 法 为 了 估 算 影 响 设 计 总 功 耗 的 三 大 要 素, 您 必 须 控 制 器 件 结 温, 并 在 测 量 前 使 其 保 持 稳 定 由 于 器 件 和 设 计 静 态 功 耗 受 器 件 结 温 影 响 极 大, 所 以 必 须 控 制 并 稳 定 结 温 影 响 设 计 总 功 耗 的 三 大 要 素 : 器 件 静 态 设 计 静 态 设 计 动 态 器 件 静 态 下 载 一 个 Blank 设 计, 确 保 :(1) 未 捕 捉 到 任 何 输 入 噪 声 ;(2) 所 有 内 部 逻 辑 与 配 置 电 路 都 处 于 已 知 状 态 注 意 :Blank 设 计 指 的 是 带 有 单 个 门 或 永 远 无 法 触 发 的 触 发 器 的 设 计, 其 中 所 有 输 出 均 为 三 态 配 置 等 到 结 温 稳 定 后 测 量 VCCINT VCCAUX 和 您 感 兴 趣 的 其 它 电 源 通 过 特 种 设 备 一 支 简 单 的 热 风 枪 或 冷 冻 喷 剂, 您 可 以 迫 使 温 度 变 化, 以 评 估 环 境 对 器 件 静 态 功 耗 的 影 响 Send Feedback 75

76 第 3 章 : 单 板 和 器 件 规 划 设 计 静 态 将 设 计 下 载 到 FPGA 器 件 上, 不 要 启 动 任 何 输 入 或 内 部 活 动 ( 输 入 数 据 以 及 内 外 部 时 钟 生 成 ) 等 到 器 件 温 度 稳 定 后, 测 量 您 感 兴 趣 的 所 有 电 源 轨 的 功 率 从 这 些 数 值 中 减 去 器 件 静 态 功 耗 测 量 值, 您 便 可 以 得 出 设 计 中 使 用 的 特 定 逻 辑 资 源 与 配 置 的 额 外 静 态 功 耗 ( 设 计 静 态 功 耗 ) 设 计 动 态 将 设 计 下 载 到 FPGA 器 件 上, 并 提 供 设 计 的 时 钟 和 典 型 输 入 图 案 资 料 集 群 等 到 结 温 稳 定, 然 后 测 量 您 感 兴 趣 的 所 有 电 源 这 一 功 耗 的 是 设 计 的 瞬 时 总 功 耗 它 会 随 着 每 个 时 钟 周 期 的 活 动 变 化 而 变 化 利 用 赛 灵 思 功 耗 估 计 器 (XPE) 进 行 最 差 情 况 功 耗 分 析 单 板 的 设 计 应 符 合 最 坏 情 况 的 功 耗 要 求 关 于 如 何 使 用 赛 灵 思 功 耗 估 计 器 (XPE) 对 最 差 情 况 下 的 功 率 进 行 分 析, 敬 请 参 阅 赛 灵 思 功 耗 估 计 器 指 南 (UG440)[ 参 考 资 料 19] 设 置 期 望 值 了 解 总 功 耗 要 求 有 助 于 您 定 义 电 力 传 输 和 冷 却 系 统 的 规 范 您 要 确 定 以 下 各 项 数 值 : 供 电 电 压 每 个 器 件 的 功 耗 被 吸 收 的 可 生 成 热 量 的 能 量 XPE 可 以 回 答 上 述 这 些 问 题 它 可 以 帮 您 并 行 发 FPGA 逻 辑 以 及 焊 接 在 器 件 上 的 印 刷 电 路 板 此 外, 还 能 让 您 了 解 预 期 裕 量, 从 而 确 信 建 成 之 后 系 统 将 在 功 耗 预 算 内 运 行 图 3-8 : 赛 灵 思 功 耗 估 计 器 (XPE) 显 示 的 功 耗 信 息, 展 示 了 赛 灵 思 功 耗 估 计 器 界 面 示 例 Send Feedback 76

77 第 3 章 : 单 板 和 器 件 规 划 图 3-8 : 赛 灵 思 功 耗 估 计 器 (XPE) 所 展 示 的 功 耗 信 息 用 XPE 估 算 功 耗 正 确 设 置 供 电 和 冷 却 技 术 参 数, 确 保 构 建 能 够 可 靠 运 行 的 系 统 大 多 数 情 况 下, 应 在 PCB 设 计 之 前 设 置 这 些 功 耗 和 热 性 能 参 数 由 于 FPGA 器 件 高 度 灵 活,FPGA 设 计 通 常 在 系 统 设 计 或 PCB 装 配 后 才 完 成, 有 时 甚 至 才 刚 启 动 这 种 顺 序 给 FPGA 设 计 师 们 带 来 了 巨 大 战, 因 为 功 耗 和 热 性 能 特 性 会 随 着 芯 片 的 比 特 流 ( 设 计 ) 时 钟 和 数 据 的 输 入 发 生 显 著 变 化 如 功 耗 或 热 系 统 设 计 欠 安 全, 可 能 会 造 成 FPGA 器 件 超 出 规 范 运 行 这 会 造 成 器 件 未 能 按 预 期 性 能 运 行, 还 可 能 导 致 其 它 更 严 重 的 后 果 Send Feedback 77

78 第 3 章 : 单 板 和 器 件 规 划 如 果 功 耗 系 统 超 安 全 标 准 设 计 一 般 不 会 带 来 严 重 后 果, 但 这 种 做 法 仍 不 可 取, 因 为 这 样 会 增 加 不 必 要 的 成 本, 并 让 整 个 系 统 变 得 更 加 复 杂 在 分 析 过 程 中, 我 们 可 以 探 索 并 运 用 多 种 功 耗 优 化 技 术, 这 些 技 术 可 以 大 大 节 省 功 耗 我 们 将 在 第 4 章 设 计 创 作 和 第 5 章 实 现 中 探 讨 这 些 技 术 使 用 赛 灵 思 功 耗 估 计 器 (XPE) 可 以 在 单 板 设 计 阶 段 进 行 功 耗 分 析 / 估 计 参 阅 Vivado 设 计 套 件 用 户 指 南 : 功 耗 分 析 和 优 化 (UG907) 中 的 这 个 链 接, 了 解 使 用 XPE 获 得 功 耗 估 算 结 果 的 更 多 介 绍 关 于 XPE 方 法 的 部 分 重 点 内 容 包 括 : 确 保 您 采 用 的 是 最 新 版 赛 灵 思 功 耗 估 计 器 (XPE) 工 具 功 耗 信 息 会 定 期 更 新 以 反 映 最 新 的 功 耗 建 模 以 及 特 性 描 述 数 据 欢 迎 登 陆 赛 灵 思 网 站 :/power, 获 取 最 新 版 XPE 提 供 特 定 器 件 选 择 的 准 确 信 息 器 件 设 置 会 给 静 态 功 耗 和 时 钟 功 耗 计 算 造 成 严 重 影 响 设 置 器 件 准 备 运 行 的 环 境 条 件 这 些 条 件 会 影 响 散 热 性 能, 进 而 影 响 器 件 温 度 而 温 度 转 而 又 影 响 功 耗 根 据 电 源 或 调 节 器 的 容 限, 将 电 压 提 升 到 FPGA 器 件 允 许 的 最 高 值 如 果 设 计 已 经 在 Vivado 工 具 中 运 行, 从 设 计 中 导 入 XPower 导 出 文 件 (.xpe) 到 XPE 中, 有 助 于 填 写 资 源 信 息 或 如 果 设 计 之 前 的 修 订 版 本 已 经 运 行 过, 可 将 该 修 订 版 本 作 为 分 析 的 理 想 起 点 提 示 : 在 导 入 Vivado 设 计 套 件 XPE 文 件 后, 应 检 查 数 据 是 否 正 确 并 关 联 把 该 信 息 作 为 理 想 起 点, 但 并 非 完 解 决 方 案 如 果 具 有 可 比 性 的.xpe 文 件 不 存 在, 按 资 源 类 型 检 查 并 ( 如 有 必 要 ) 填 写 准 备 在 设 计 中 使 用 的 资 源, 包 括 : 时 钟 树 功 耗 逻 辑 功 耗 I/O 功 耗 Block RAM 功 耗 DSP 功 耗 时 钟 管 理 器 (CLKMGR) GT Send Feedback 78

79 第 3 章 : 单 板 和 器 件 规 划 审 核 每 个 含 有 翻 转 率 平 均 扇 出 或 使 能 率 的 标 签 的 设 置 值, 并 根 据 需 要 调 整 例 如 : 如 果 存 储 接 口 的 训 练 模 式 程 序 在 这 个 接 口 上 使 用 可 持 续 高 翻 转 率, 提 高 翻 转 率 以 反 映 这 种 额 外 活 动 如 果 电 路 某 部 分 的 时 钟 使 能 方 式 会 降 低 电 路 的 总 体 活 动, 降 低 翻 转 率 关 于 翻 转 率 判 断 方 法 更 多 介 绍, 请 参 阅 赛 灵 思 功 耗 估 计 器 用 户 指 南 (UG440)[ 参 考 资 料 19] 对 逻 辑 扇 出, 应 仔 细 考 虑 数 据 路 径 和 控 制 路 径 的 性 质, 例 如 : 在 存 在 结 构 良 好 的 串 行 数 据 路 径 的 设 计 中 ( 比 如 DSP 设 计 ), 扇 出 一 般 比 设 置 的 默 省 值 低 ; 在 存 在 大 量 数 据 执 行 路 径 的 设 计 中 ( 比 如 某 些 嵌 入 式 设 计 ), 可 能 会 看 到 更 高 的 扇 出 在 审 核 结 果 之 前, 如 有 必 要 应 按 上 述 次 序 逐 步 进 行 在 完 成 这 些 步 骤 之 后, 再 分 析 结 果 应 确 保 不 超 过 结 温, 且 耗 用 的 功 耗 不 超 过 项 目 要 求 的 预 算 如 果 散 热 或 功 耗 特 性 超 出 预 算 : 调 整 环 境 特 性 ( 比 如 增 大 气 流 或 添 加 散 热 器 ), 或 调 整 设 计 的 资 源 和 功 耗 特 性, 直 至 得 到 可 接 受 的 结 果 许 多 时 候 需 要 做 权 衡 取 舍 来 求 得 更 严 格 功 耗 预 算 下 所 需 的 功 能 权 衡 取 舍 各 种 选 择 的 时 间 最 好 是 在 设 计 流 程 早 期 阶 段 当 数 据 完 全 加 载 且 该 器 件 工 作 在 所 选 等 级 允 许 的 温 度 范 围 内, 就 可 以 使 用 XPE 报 告 的 功 耗 来 设 定 设 计 的 电 压 如 果 用 户 有 信 心 加 载 的 数 据 量 不 会 太 大, 可 以 适 当 放 大 数 值 以 避 免 把 器 件 的 电 源 系 统 设 计 地 过 于 局 促 但 如 果 用 户 对 加 载 的 数 据 有 比 较 准 确 的 把 握, 就 无 需 在 工 具 报 告 的 数 值 上 放 大 当 设 计 成 熟 时, 应 不 断 审 核 和 更 新 电 子 表 格 中 的 数 据, 以 体 现 最 新 要 求 和 实 现 详 情 这 样 可 以 反 Send Feedback 79

80 第 3 章 : 单 板 和 器 件 规 划 映 设 计 功 耗 的 最 新 情 况, 还 能 根 据 设 计 当 前 的 功 耗 趋 势, 及 早 发 现 是 否 有 上 调 或 下 调 功 耗 预 算 的 需 要 配 置 配 置 指 的 是 将 特 定 应 用 数 据 加 载 到 FPGA 器 件 的 内 部 存 储 器 的 过 程 赛 灵 思 FPGA 配 置 数 据 储 存 在 CMOS 配 置 锁 存 (CCL) 中, 因 此 配 置 数 据 很 不 稳 定, 且 在 每 次 FPGA 器 件 断 电 后 都 必 须 重 新 加 载 赛 灵 思 FPGA 器 件 可 通 过 来 自 外 部 非 易 失 性 存 储 器 件 的 配 置 引 脚 自 行 加 载 配 置 数 据 而 且 还 可 以 用 外 部 智 能 源 配 置 器 件, 外 部 智 能 源 包 括 : 微 处 理 器 DSP 处 理 器 微 控 制 器 PC 单 板 测 试 器 板 级 规 划 应 首 先 考 虑 配 置 方 面, 以 简 化 配 置 和 调 试 工 作 每 个 FPGA 器 件 系 列 都 提 供 有 配 置 用 户 指 南, 是 用 户 了 解 各 种 所 支 持 的 配 置 模 式 详 细 信 息 的 主 要 资 源 例 如 : 7 系 列 FPGA 配 置 用 户 指 南 (UG470)[ 参 考 资 料 44] UltraScale 架 构 配 置 高 级 规 范 用 户 指 南 (UG570)[ 参 考 资 料 50] 配 置 模 式 赛 灵 思 FPGA 配 置 模 式 接 口 涵 盖 从 带 有 2 引 脚 的 基 础 串 行 模 式 接 口 到 带 有 50 引 脚 的 高 性 能 主 BPI ( 同 步 ) 模 式 接 口 有 关 可 用 的 配 置 模 式, 见 表 3-3 : 配 置 模 式 表 3-3 : 配 置 模 式 配 置 模 式 数 据 总 线 宽 度 JTAG/ 边 界 扫 描 1 主 串 行 模 式 1 从 串 行 模 式 1 Send Feedback 80

81 第 3 章 : 单 板 和 器 件 规 划 表 3-3 : 配 置 模 式 ( 续 ) 配 置 模 式 数 据 总 线 宽 度 主 串 行 外 设 接 口 (SPI) 主 字 节 外 设 接 口 (BPI) 主 SelectMAP 从 SelectMAP x1/x2/x4/x8 a x8/x16 x8/x16 x8/x16x32 a. Kintex UltraScale 和 Virtex UltraScale FPGA 支 持 能 有 效 提 供 x8 的 全 新 QSPI 模 式 限 制 引 脚 应 用 串 行 模 式 的 接 口 引 脚 较 少, 因 此 适 合 限 制 引 脚 的 应 用 这 些 配 置 模 式 还 有 多 功 能 引 脚, 这 些 引 脚 可 在 配 置 后 重 复 用 于 用 户 应 用 设 计 请 仔 细 评 估 引 脚 重 用 赛 灵 思 建 议 主 SPI 接 口 和 从 串 行 配 置 模 式 用 于 引 脚 有 限 且 简 便 易 用 的 应 用 中 主 SPI 配 置 模 式 允 许 在 加 电 时 用 外 部 SPI 闪 存 载 入 FPGA 器 件 该 模 式 支 持 高 达 四 倍 数 据 总 线 宽 度, 可 实 现 合 理 的 配 置 用 时 并 使 用 相 对 较 少 的 接 口 引 脚 数 另 外, 主 模 式 无 需 外 部 时 钟 源, 且 能 够 降 低 系 统 连 接 性 要 求 成 本 敏 感 型 应 用 重 复 利 用 现 有 板 载 闪 存 存 储 器 或 系 统 存 储 器 存 储 比 特 流 映 像 具 有 成 本 优 势 比 特 流 甚 至 可 存 储 在 硬 盘 上 或 通 过 网 络 连 接 远 程 下 载 如 果 有 额 外 的 存 储 器, 根 据 数 据 源 可 使 用 以 下 模 式 之 一 : JTAG 配 置 模 式 主 SPI 配 置 模 式 从 串 行 配 置 模 式 主 BPI 配 置 模 式 如 果 要 求 闪 存 配 置 且 板 载 闪 存 无 法 重 复 使 用, 那 么 SPI 闪 存 将 是 成 本 最 低 的 外 部 配 置 闪 存 选 项 在 SPI 主 模 式 下 使 用 内 部 时 钟 振 荡 器 还 可 以 省 去 外 部 配 置 时 钟 源 ( 及 其 带 来 的 成 本 ) Send Feedback 81

82 第 3 章 : 单 板 和 器 件 规 划 高 速 配 置 应 用 很 多 常 用 标 准 ( 比 如 PCIe 和 CAN) 都 要 求 快 速 启 动 并 在 特 定 时 间 内 完 成 FPGA 器 件 配 置 对 于 赛 灵 思 7 系 列 FPGA 器 件 来 说, 最 快 速 的 可 用 配 置 选 项 包 括 : 总 体 从 SelectMAPx32 模 式, 适 用 于 直 接 以 最 高 配 置 频 率 加 载 比 特 流 的 情 况 闪 存 配 置 主 BPIx16 配 置 模 式 使 用 EMCCLK 和 突 发 同 步 读 取 模 式 高 速 配 置 应 用 技 巧 在 高 速 配 置 应 用 中, 请 记 住 以 下 几 点 : 在 相 同 时 钟 频 率 下, 并 行 配 置 模 式 比 串 行 模 式 更 快, 因 为 并 行 模 式 可 同 时 编 程 8 16 或 32 位 不 要 用 给 一 个 配 置 源 使 多 个 FPGA 器 件 形 成 菊 花 链 在 关 注 配 置 速 度 的 多 FPGA 设 计 中, 请 单 独 配 置 每 台 FPGA 并 将 其 并 行 连 接 将 主 模 式 与 从 模 式 或 EMCCLK 结 合 使 用 与 内 部 CCLK 相 比, 主 模 式 下 的 外 部 主 配 置 时 钟 更 加 精 准, 因 此 可 实 现 最 佳 配 置 性 能 使 用 这 个 选 项 时, 请 参 阅 第 296 页 一 般 比 特 流 属 性 中 对 EMCCLK 的 介 绍 很 多 因 素 会 影 响 解 决 方 案 运 行 的 最 大 配 置 时 钟 频 率 其 中 有 些 因 素 超 出 了 FPGA 器 件 的 规 范 要 求, 比 如 所 选 闪 存 的 存 取 时 间 FPGA 系 列 的 配 置 用 户 指 南 提 供 了 方 程 式, 可 以 用 这 些 方 程 式 根 据 您 所 选 的 闪 存 以 及 您 的 目 标 配 置 设 置 计 算 出 常 见 模 式 的 最 长 配 置 时 间 选 择 您 的 闪 存 器 件, 这 样 它 们 能 够 以 FPGA 器 件 所 允 许 的 最 高 配 置 时 钟 频 率 运 行 通 过 控 制 系 统 的 启 动 速 度 来 探 索 缩 短 上 电 复 位 时 间 (Tpor) 的 可 能 性 关 于 Tpor 启 动 时 间 选 项 规 范 要 求, 器 件 说 明 书 中 的 FPGA 配 置 开 关 特 性 对 Kintex UltraScale 和 Virtex UltraScale 器 件, 应 确 保 POR_OVERRIDE 信 号 按 有 保 证 的 上 升 速 率 正 确 保 持 部 分 重 配 置 等 先 进 的 配 置 技 术 也 许 有 所 帮 助, 但 是 它 们 超 出 了 本 文 档 的 范 畴 Send Feedback 82

83 第 3 章 : 单 板 和 器 件 规 划 估 算 配 置 时 间 可 用 以 下 等 式 估 算 配 置 时 间 ( 上 电 复 位 后 ): 配 置 时 间 = 比 特 流 大 小 配 置 时 钟 频 率 数 据 总 线 宽 度 高 密 度 或 多 重 引 导 存 储 应 用 在 需 要 多 个 FPGA 器 件 或 单 个 FPGA 器 件 具 备 多 个 设 计 加 载 文 件, 或 要 求 较 高 密 度 的 FPGA 器 件 的 应 用 情 况 下, 要 求 配 置 解 决 方 案 具 备 更 大 的 闪 存 存 储 器 密 度 一 般 来 说, 并 行 NOR 闪 存 是 可 用 SPI 闪 存 密 度 的 两 倍 如 果 需 要 外 部 闪 存 存 储 器 解 决 方 案, 并 以 高 密 度 解 决 方 案 为 目 标, 可 考 虑 Master BPI 配 置 模 式 对 Kintex UltraScale 和 Virtex UltraScale 系 列, 双 QSPI 的 主 SPI 模 式 (x8) 也 很 有 吸 引 力, 因 为 它 可 以 实 现 与 并 行 NOR 范 围 相 似 的 密 度 比 特 流 的 大 小 直 接 取 决 于 器 件 尺 寸, 并 决 定 了 需 要 多 大 的 闪 存 比 特 流 压 缩 选 项 有 助 于 缩 减 比 特 流 大 小 根 据 设 计 方 案, 压 缩 率 也 有 所 不 同 需 要 密 度 迁 移 对 于 支 持 未 来 优 化 或 增 加 功 能 的 系 统, 通 常 会 检 查 其 迁 移 可 行 性 迁 移 可 行 性 应 同 样 考 虑 配 置 方 面 比 如, 如 果 选 择 了 一 种 使 用 闪 存 的 配 置 模 式, 请 务 必 确 保 该 设 置 可 覆 盖 更 大 密 度 的 存 储 器 电 压 兼 容 性 在 有 些 应 用 情 况 下, 系 统 中 只 提 供 有 限 的 电 源 选 项 这 些 系 统 可 能 会 要 求 使 用 兼 容 1.8V 或 3.3V 电 源 的 配 置 解 决 方 案 第 三 方 提 供 的 外 部 SPI 闪 存 以 及 并 行 NOR 闪 存 有 部 分 部 件 的 核 心 电 压 为 1.8V 或 3.3V 选 择 闪 存 器 件 时, 既 要 考 虑 所 需 I/O, 也 要 考 虑 核 心 电 压 兼 容 性 Send Feedback 83

84 第 3 章 : 单 板 和 器 件 规 划 单 板 设 计 技 巧 设 计 单 板 时, 请 从 配 置 和 调 试 的 角 度 考 虑 以 下 几 点 : JTAG 接 口 调 试 多 个 器 件 STATUS( 状 态 ) 引 脚 配 置 Bank 电 压 选 择 I/O 引 脚 上 拉 电 阻 设 计 复 位 延 迟 配 置 配 置 时 钟 CCLK 终 端 基 于 闪 存 的 配 置 JTAG 接 口 赛 灵 思 建 议 开 发 板 上 始 终 设 有 一 个 易 于 使 用 的 JTAG 接 口 连 接 器, 即 使 JTAG 不 是 主 要 配 置 模 式 时 也 应 如 此 四 引 脚 JTAG 接 口 允 许 在 设 计 过 程 的 任 何 阶 段 提 供 直 接 调 试 访 问, 并 且 支 持 器 件 IDCODE 或 器 件 DNA 读 取 等 关 键 检 查 在 开 发 板 启 动 或 主 要 配 置 方 法 发 生 配 置 错 误 从 而 对 主 要 配 置 方 法 进 行 调 试 的 过 程 中, 可 将 JTAG 配 置 模 式 作 为 一 个 有 价 值 的 替 代 方 法 内 部 配 置 状 态 寄 存 器 会 提 供 配 置 流 程 信 息 JTAG 可 通 过 配 套 提 供 的 线 缆 和 Vivado 设 计 套 件 器 件 编 程 器 访 问 寄 存 器 本 寄 存 器 会 报 告 关 于 启 动 阶 段 DONE INIT 以 及 常 见 错 误 模 式 等 详 细 信 息, 且 是 一 款 实 用 的 调 试 工 具 关 于 状 态 寄 存 器 的 各 种 位 元 信 息, 敬 请 参 阅 相 关 器 件 配 置 用 户 指 南 在 原 型 设 计 过 程 中 Vivado 设 计 套 件 器 件 编 程 器 通 过 配 套 提 供 的 线 缆 支 持 直 接 JTAG 配 置 和 调 试 如 果 选 择 主 SPI 或 BPI 配 置 模 式,JTAG 接 口 还 可 以 通 过 间 接 方 式 设 置 连 接 到 FPGA 器 件 上 的 支 持 板 载 闪 存 以 进 行 配 置 由 于 赛 灵 思 FPGA 器 件 没 有 可 选 JTAG 标 准 重 置 信 号 (TRST), 不 应 让 JTAG 连 接 器 显 示 该 信 号 TRST 信 号 可 直 接 将 JTAG TAP 状 态 机 重 置 为 RTI( 运 行 - 测 试 - 空 闲 ) 状 态 TMS 将 五 个 TCK 脉 冲 Send Feedback 84

85 第 3 章 : 单 板 和 器 件 规 划 保 持 为 高, 可 重 置 TAP, 并 提 供 与 TRST 相 同 的 功 能 这 种 重 置 状 态 机 的 方 法 对 于 并 非 所 有 器 件 都 具 备 TRST 引 脚 的 混 合 JTAG 链 来 说 非 常 实 用, 可 防 止 JTAG TAP 状 态 机 不 同 步 调 试 在 I/O 设 计 过 程 中, 留 出 足 够 数 量 的 引 脚 供 调 试 使 用 将 这 些 引 脚 与 可 用 于 连 接 逻 辑 分 析 器 或 示 波 器 的 探 头 连 接 如 有 必 要, 可 让 这 些 引 脚 显 示 某 些 信 号, 并 将 这 些 信 号 连 接 至 逻 辑 分 析 器 多 个 器 件 JTAG 是 一 种 串 行 接 口, 支 持 多 个 器 件 链 接 它 能 够 在 JTAG 链 中 隔 离 单 个 FOGA 器 件, 这 对 于 系 统 启 动 与 调 试 非 常 有 用 常 用 方 法 允 许 器 件 成 为 JTAG 多 器 件 链 的 一 部 分, 或 在 JTAG 链 中 仅 包 含 一 个 器 件 在 JTAG 链 中,TCK 和 TMS 接 口 信 号 被 连 接 到 一 起, 确 保 JTAG 链 中 的 每 个 器 件 都 能 接 收 同 样 的 时 钟 和 模 式 选 择 转 换 如 果 JTAG 链 由 多 个 器 件 组 成, 请 缓 冲 TCK 和 TMS STATUS( 状 态 ) 引 脚 将 LED 和 上 拉 电 阻 连 接 到 DONE 和 INIT_B 信 号 参 见 FPGA 系 列 配 置 用 户 指 南 获 得 建 议 的 上 拉 电 阻 值 启 动 配 置 序 列 后,INIT_B 信 号 脉 冲 低, 然 后 在 配 置 过 程 中 从 外 部 将 其 拉 高 配 置 时 如 果 检 测 到 错 误, 信 号 会 再 次 降 低 DONE 信 号 表 示 配 置 成 功 出 现 错 误 时, 这 个 信 号 不 会 变 强 在 这 两 个 LED 之 间, 可 了 解 配 置 过 程 的 状 态 配 置 Bank 电 压 选 择 大 多 数 赛 灵 思 FPGA 器 件 均 支 持 3.3V 2.5V 或 1.8V I/O 配 置 接 口 Bank0 中 有 专 用 配 置 引 脚, 而 与 特 定 配 置 模 式 相 关 的 多 功 能 引 脚 分 布 在 其 它 Bank 中 对 于 特 定 Bank 详 情, 参 见 相 关 FPGA 配 置 用 户 指 南 或 FPGA 封 装 用 户 指 南 为 了 在 Bank0 中 支 持 合 适 的 配 置 接 口 电 压, 必 须 分 别 将 该 配 置 Bank 电 压 选 择 引 脚 (CFGBVS) 设 置 为 High( 高 ) 或 Low( 低 ) 以 设 置 专 用 配 置 Bank0 I/O, 使 其 能 在 3.3V/2.5V1.8V 电 压 下 运 行 当 将 CFGBVS 设 置 为 低 以 便 在 1.8V I/O 下 运 行 时,VCCO_0 电 源 以 及 发 送 至 Bank0 的 I/O 信 号 必 须 为 1.8V( 或 更 低 ), 以 防 止 器 件 损 坏 不 得 将 CFGBVS 引 脚 保 持 在 浮 动 状 态 如 需 了 解 更 多 信 息, 敬 请 参 阅 相 应 器 件 系 列 的 配 置 用 户 指 南 Send Feedback 85

86 第 3 章 : 单 板 和 器 件 规 划 I/O 引 脚 上 拉 电 阻 可 在 配 置 过 程 中 器 件 的 SelectI/O 可 上 拉 或 位 于 三 态, 这 取 决 于 PUDC( 配 置 过 程 上 拉 电 阻 ) 是 否 分 别 位 于 高 位 或 低 位 在 配 置 过 程 中, 应 始 终 将 这 个 引 脚 设 置 为 常 量 且 不 处 于 浮 动 状 态, 这 取 决 于 SelectI/O 的 期 望 行 为 在 生 成 比 特 流 时 设 置 BITSTREAM.CONFIG.UNUSEDPINS 属 性, 从 而 控 制 一 个 未 经 使 用 的 IO 引 脚 是 否 具 有 下 拉 电 阻 ( 默 认 ) 上 拉 电 阻, 还 是 在 配 置 后 处 于 浮 动 状 态 设 计 复 位 PROGRAM_B 输 入 线 可 复 位 FPGA 器 件 确 定 为 器 件 的 PROGRAM_B 信 号 添 加 外 部 上 拉 电 阻 见 FPGA 系 列 配 置 用 户 指 南, 获 取 建 议 上 拉 电 阻 值 考 虑 添 加 一 个 按 钮 或 通 过 一 种 方 式 让 PROGRAM_B 信 号 跳 动 以 复 位 并 清 除 配 置 存 储 器, 从 而 在 加 电 之 外 进 行 测 试 延 迟 配 置 有 些 带 有 外 部 闪 存 或 上 电 定 序 条 件 的 应 用 可 能 希 望 延 迟 配 置, 从 而 在 FPGA 器 件 初 始 化 配 置 前 确 定 电 源 完 好 可 通 过 在 给 定 时 间 内 ( 比 如 直 到 电 源 稳 定 前 ) 将 INIT_B 保 持 为 低 来 推 迟 配 置 保 持 PROGRAM_B 引 脚 为 低 对 于 延 迟 配 置 没 有 任 何 帮 助 配 置 时 钟 必 须 根 据 您 的 系 统 给 的 成 本 和 性 能 要 求 决 定 在 主 模 式 下 使 用 内 部 配 置 时 钟 还 是 使 用 外 部 配 置 时 钟 成 本 当 成 本 成 为 主 要 因 素 时, 使 用 内 部 配 置 时 钟 取 代 外 部 板 载 振 荡 器 达 到 降 低 成 本 的 目 的 性 能 对 于 要 求 较 高 编 程 速 度 的 应 用, 必 须 使 用 外 部 主 配 置 时 钟 有 些 系 列 内 部 配 置 时 钟 振 荡 器 的 公 差 范 围 可 达 +/-50% 在 创 建 配 置 文 件 时 如 选 择 33MHz 的 时 钟 频 率, 则 际 CCLK 频 率 会 在 16.5MHz 到 49.5MHz 之 间 比 如, 如 果 闪 存 器 件 有 33MHz 的 最 大 容 许 频 率, 则 CCLK 容 许 选 择 的 最 高 内 部 时 钟 为 22MHz ; 由 于 Send Feedback 86

87 第 3 章 : 单 板 和 器 件 规 划 存 在 最 大 限 制, 选 择 22MHz( 通 过 用 于 配 置 频 率 的 比 特 流 属 性 ), 实 际 的 时 钟 频 率 可 达 到 33MHz ( 范 围 为 11MHz 到 33MHz) CCLK 端 接 尽 管 配 置 接 口 运 行 频 率 一 般 在 100MHz 以 下, 但 确 保 在 闪 存 上 显 示 配 置 时 钟 且 FPGA 器 件 拥 有 有 效 的 高 / 低 电 平 仍 十 分 重 要 因 反 射 端 接 不 良 或 较 差 的 走 线 布 局 造 成 的 时 钟 故 障 最 终 可 能 会 导 致 配 置 错 误 见 特 定 FPGA 系 列 的 配 置 用 户 指 南 和 封 装 用 户 指 南 基 于 闪 存 的 配 置 SPI 和 并 行 NOR 闪 存 是 两 个 最 常 用 的 配 置 存 储 器 选 项 选 择 Master SPI 或 Master BPI 配 置 模 式 可 解 决 以 下 问 题 : 闪 存 通 常 具 有 写 保 护 (WP) 复 位 (RST) 等 控 制 信 号 或 提 供 保 持 引 脚 在 很 多 情 况 下 建 议 使 用 复 位 信 号, 将 其 绑 定 INIT_B 信 号, 因 此, 重 设 FPGA 器 件 时, 连 接 的 配 置 闪 存 同 样 也 会 重 设 写 保 护 是 一 种 高 级 功 能 启 动 写 保 护 后, 它 会 阻 止 间 接 编 程 如 果 无 需 更 改 设 计, 赛 灵 思 建 议 您 采 用 一 种 能 够 禁 用 写 保 护 的 简 单 方 法 有 些 支 持 的 并 行 NOR 闪 存 器 件 可 偏 移 地 址, 因 此 对 于 某 些 闪 存 来 说, 总 线 对 齐 可 能 为 FPGA A0 信 号 与 闪 存 A1 信 号 对 齐 请 查 看 闪 存 数 据 手 册, 了 解 哪 些 引 脚 为 LSB 专 用 配 置 引 脚 与 多 功 能 配 置 引 脚 配 置 引 脚 有 专 用 配 置 引 脚 和 多 功 能 配 置 引 脚 两 种 对 于 专 用 配 置 引 脚 来 说, 比 特 流 设 置 选 项 可 确 定 配 置 完 成 后 该 引 脚 是 具 有 上 拉 电 阻 下 拉 电 阻 还 是 为 三 态 多 功 能 配 置 引 脚 在 配 置 过 程 中 用 来 加 载 FPGA 器 件 之 后, 多 功 能 配 置 引 脚 可 供 设 计 应 用 重 用, 以 实 现 它 功 能 对 于 这 些 引 脚 来 说, 配 置 后 的 信 号 行 为 取 决 于 设 计 以 上 所 说 的 多 功 能 引 脚 行 为 也 有 例 外, 适 用 于 FPGA 比 特 流 持 续 选 择 持 续 选 择 用 于 高 级 用 户 场 景, 比 如 SelectMAP readmap 和 部 分 重 配 置, 而 这 些 场 景 超 出 了 本 文 档 的 范 畴 多 功 能 配 置 模 式 可 对 FPGA 器 件 I/OBank 规 定 电 压 限 值 Send Feedback 87

88 第 3 章 : 单 板 和 器 件 规 划 SSI 的 主 超 级 逻 辑 区 域 (SLR) 每 个 SSI 器 件 都 有 唯 一 的 主 SLR, 见 下 表 表 3-4 : 主 SLR 器 件 主 SLR XC7V2000T XC7VX1140T XC7VH580T SLR1 XC7VH870T SLR2 主 SLR 包 含 主 配 置 逻 辑, 可 初 始 化 器 件 及 其 它 所 有 LR 元 件 的 配 置 主 SLR 是 唯 一 包 含 专 用 电 路 的 SLR, 专 用 电 路 包 括 : DEVICE_DNA USER_EFUSE XADC 要 访 问 这 个 电 路, 请 将 相 关 引 脚 或 逻 辑 放 入 SLR 中, 同 时 手 动 为 器 件 的 引 脚 或 逻 辑 设 置 约 束 使 用 这 些 组 件 时, 布 局 布 线 工 具 可 为 合 适 的 SLR 设 定 相 关 引 脚 与 逻 辑 总 之, 无 需 进 行 额 外 干 预 Send Feedback 88

89 第 3 章 : 单 板 和 器 件 规 划 图 3-9 :XC7V2000T 器 件 中 的 主 SLR Send Feedback 89

90 第 4 章 设 计 创 建 设 计 创 建 简 介 您 已 经 完 成 了 器 件 I/O 规 划 PCB 布 局 规 划, 并 选 择 了 Vivado 设 计 套 件 的 使 用 模 型, 现 在 就 可 以 开 始 创 建 设 计 需 要 考 虑 的 重 点 包 括 : 实 现 所 需 的 功 能 在 所 需 的 频 率 上 工 作 以 所 需 的 可 靠 度 工 作 符 合 芯 片 资 源 和 功 耗 预 算 为 满 足 上 述 目 标, 设 计 创 建 需 要 : 规 划 设 计 的 层 级 ; 确 定 设 计 中 需 要 使 用 和 定 制 的 IP 核 ; 对 于 不 能 找 到 合 适 IP 的 互 联 逻 辑 和 功 能, 为 其 创 建 定 制 的 RTL ; 创 建 时 序 和 物 理 约 束 ; 指 定 在 综 合 和 实 现 过 程 中 使 用 的 额 外 约 束 属 性 及 其 它 元 件 这 个 步 骤 的 任 何 决 策 都 会 给 最 终 产 品 造 成 广 泛 而 深 远 的 影 响 在 这 一 阶 段 的 错 误 决 策 会 导 致 后 续 阶 段 出 现 问 题, 造 成 整 个 设 计 周 期 中 不 断 返 工 在 流 程 初 期 花 费 一 定 时 间 创 建 精 心 规 划 的 设 计 是 值 得 的 这 有 助 于 实 现 所 需 的 设 计 目 标, 并 最 大 限 度 地 减 少 实 验 室 中 的 调 试 时 间 Send Feedback 90

91 第 4 章 : 设 计 创 建 定 义 理 想 的 设 计 层 级 设 计 创 建 的 第 一 步 是 决 定 如 何 在 逻 辑 上 划 分 设 计 考 虑 层 级 时 的 要 点 是 将 含 有 特 定 功 能 的 设 计 组 成 部 分 划 分 为 一 个 区 域 这 样 方 便 安 排 特 定 的 设 计 人 员 单 独 设 计 IP 以 及 隔 离 一 段 代 码 以 供 重 复 利 用 但 是 仅 根 据 功 能 来 确 定 层 级, 会 对 时 序 收 敛 运 行 时 间 和 调 试 的 优 化 方 法 考 虑 不 周 下 面 介 绍 的 在 层 级 规 划 时 的 额 外 考 虑 因 素 也 有 助 于 时 序 收 敛 靠 近 顶 层 调 用 I/O 组 件 尽 可 能 地 靠 近 顶 层 调 用 I/O 组 件, 以 实 现 设 计 可 读 性 可 调 用 的 组 件 有 IBUF OBUF 和 I/O 中 的 单 数 据 速 率 寄 存 器 需 要 实 例 化 的 I/O 组 件, 比 如 IBUFDS OBUFDS, 也 应 靠 近 顶 层 进 行 实 例 化 朝 顶 层 方 向 布 置 时 钟 元 件 朝 顶 层 方 向 布 置 时 钟 元 件 可 以 简 化 模 块 间 的 时 钟 共 享 时 钟 共 享 可 以 减 少 所 需 的 时 钟 资 源, 从 而 提 高 资 源 利 用 率, 改 善 性 能, 降 低 功 耗 除 了 创 建 时 钟 的 模 块, 时 钟 路 径 只 应 朝 下 驱 动 入 模 块 任 何 贯 穿 型 路 径 ( 自 上 而 下 又 自 下 而 上 ) 会 在 VHDL 仿 真 中 造 成 Delta 差 异 问 题, 造 成 难 以 调 试 和 长 时 间 调 试 在 逻 辑 边 界 上 寄 存 数 据 路 径 寄 存 层 级 边 界 的 输 出 是 为 了 将 关 键 路 径 约 束 在 单 个 模 块 或 边 界 之 内 通 常 而 言, 分 析 和 修 复 处 于 一 个 模 块 中 的 时 间 路 径 比 跨 越 多 个 模 块 的 路 径 要 容 易 得 多 任 何 未 在 层 级 边 界 上 寄 存 的 路 径, 都 应 用 Rebiult 的 层 级 或 Flat 层 级 进 行 综 合, 以 便 支 持 跨 层 级 优 化 在 逻 辑 边 界 上 寄 存 数 据 路 径 有 助 于 在 整 个 设 计 流 程 中 保 持 ( 用 于 调 试 的 ) 可 跟 踪 性, 因 为 这 样 可 以 最 大 限 度 地 避 免 跨 层 级 优 化, 逻 辑 也 不 会 移 动 到 多 个 模 块 Send Feedback 91

92 第 4 章 : 设 计 创 建 布 局 规 划 布 局 规 划 可 确 保 属 于 设 计 网 表 中 特 定 部 分 的 单 元 布 局 在 器 件 上 的 特 定 位 置 对 于 下 列 情 况, 用 户 可 手 动 完 成 布 局 规 划 : 在 使 用 SSI 器 件 时, 将 分 区 逻 辑 划 分 到 特 定 的 SLR, 以 限 定 源 和 目 的 寄 存 器 在 使 用 标 准 流 程 无 法 满 足 时 序 要 求 时, 用 于 收 敛 设 计 的 时 序 在 使 用 部 分 重 配 置 等 分 层 设 计 流 程 时 如 果 元 语 或 模 块 未 限 定 在 一 个 层 级 上, 所 有 对 象 必 须 单 独 纳 入 约 束 中 如 果 这 些 对 象 的 名 称 在 综 合 后 发 生 改 变, 必 须 更 新 约 束 理 想 的 布 局 规 划 应 限 定 在 一 个 层 级 上, 因 为 这 样 做 只 需 要 一 行 约 束 不 是 随 时 都 需 要 布 局 规 划 只 在 需 要 的 时 候 进 行 布 局 规 划 如 需 了 解 有 关 布 局 规 划 的 更 多 信 息, 敬 请 访 问 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 与 收 敛 技 术 (UG906) 中 的 链 路 建 议 : 虽 然 Vivado 工 具 允 许 跨 层 级 布 局 规 划, 但 维 护 工 作 也 由 此 增 加 应 尽 量 避 免 跨 层 级 布 局 规 划 功 能 和 时 序 调 试 如 本 章 前 文 所 述, 把 关 键 路 径 限 定 在 同 一 层 级 边 界 内 有 助 于 调 试 和 修 复 时 序 同 样, 出 于 功 能 调 试 ( 及 修 改 ) 目 的, 相 关 信 号 必 须 限 定 在 同 一 层 级 上 这 样 可 以 为 探 针 探 测 和 修 改 相 关 信 号 提 供 便 利 模 块 级 的 属 性 在 模 块 级 应 用 属 性 可 让 代 码 更 加 简 洁 和 更 具 可 扩 展 性 不 应 在 信 号 级 应 用 属 性, 而 应 在 模 块 级 应 用 属 性, 然 后 把 属 性 传 播 给 这 个 区 域 内 调 用 的 所 有 信 号 在 模 块 级 应 用 属 性 还 能 覆 盖 全 局 综 合 选 项 因 此, 为 在 RTL 中 应 用 模 块 级 约 束, 有 时 增 加 一 个 层 级 会 比 较 好 注 意! 某 些 属 性 ( 例 如 DONT_TOUCH) 不 会 从 一 个 模 块 传 播 到 该 模 块 的 所 有 信 号 Send Feedback 92

93 第 4 章 : 设 计 创 建 高 级 设 计 技 术 的 设 计 层 级 考 虑 事 项 自 下 而 上 综 合 部 分 重 配 置 和 无 关 联 (OOC) 设 计 等 高 级 设 计 技 术 要 求 在 层 级 上 进 行 规 划 设 计 必 须 根 据 使 用 的 设 计 技 术 选 择 合 适 的 层 级 本 文 不 对 这 些 技 术 做 详 细 介 绍 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 指 南 : 层 级 设 计 (UG905) 中 的 设 计 考 虑 事 项 部 分 [ 参 考 资 料 16] 高 速 DSP 设 计 的 预 先 层 级 规 划 实 例 下 面 这 个 实 例 并 非 适 用 于 所 有 设 计, 只 用 于 说 明 层 级 所 起 的 作 用 DSP 设 计 一 般 允 许 增 加 设 计 时 延 这 样 可 以 在 设 计 中 添 加 寄 存 器, 实 现 性 能 优 化 此 外 还 可 以 使 用 寄 存 器 增 强 布 局 的 灵 活 性 这 非 常 重 要, 因 为 在 高 速 设 计 中, 用 户 无 法 在 一 个 时 钟 周 期 内 遍 历 整 个 晶 片 添 加 寄 存 器 可 以 让 难 以 到 达 的 区 域 也 能 得 到 利 用 图 4-1 : 有 效 的 层 级 规 划 实 例 体 现 的 是 如 何 用 有 效 的 层 级 规 划 加 快 时 序 收 敛 图 4-1 : 有 效 的 层 级 规 划 实 例 本 设 计 部 分 分 三 个 层 级 : DSP_i floorplanning_wrapper_i placement_flexibiity_wrapper_i Send Feedback 93

94 第 4 章 : 设 计 创 建 DSP_i 输 入 和 输 出 同 时 寄 存 在 DSP_i 算 法 模 块 由 于 FPGA 器 件 能 够 提 供 丰 富 的 寄 存 器, 可 以 使 用 这 种 方 法 来 改 善 时 序 预 算 floorplanning_wrapper_i floorplanning_wrapper_i 中 存 在 CE 信 号 CE 信 号 一 般 是 重 负 载 信 号, 会 带 来 时 序 问 题, 应 在 布 局 规 划 时 加 以 考 虑 需 要 时, 可 以 通 过 创 建 布 局 规 划 封 装 程 序 的 方 法, 随 后 手 动 布 局 规 划 该 模 块 此 外, 在 模 块 级 已 添 加 KEEP_HIERARCHY, 这 样 无 论 是 否 有 其 它 全 局 综 合 选 项, 仍 可 确 保 层 级 在 布 局 规 划 时 得 到 保 存 placement_flexibility_wrapper_i placement_flexibility_wrapper_i 用 于 寄 存 DATA_IN VALID_IN DATA_OUT 和 VALID_OUT 信 号 因 为 这 些 信 号 本 来 不 是 布 局 规 划 的 组 成 部 分, 故 它 们 位 于 floorplanning_wrapper_i 之 外 如 果 它 们 在 布 局 规 划 之 内, 它 们 就 无 法 满 足 灵 活 布 局 的 要 求 另 外, 只 要 DATA_IN + VALID_IN 或 DATA_OUT 和 VALID_OUT 是 成 对 处 理, 后 续 还 可 以 添 加 更 多 的 寄 存 器 在 添 加 更 多 寄 存 器 的 时 候, 综 合 工 具 会 获 得 SRL, 把 所 有 寄 存 器 强 制 集 中 到 一 个 组 件 之 中, 这 对 灵 活 布 局 没 有 帮 助 为 防 止 出 现 这 种 情 况, 可 以 在 模 块 级 添 加 SHREG_EXTRACT 并 将 其 设 置 为 NO 充 分 使 用 IP 核 使 用 预 先 验 证 的 IP 核 能 够 大 幅 减 少 设 计 和 验 证 工 作 量, 从 而 加 速 产 品 上 市 进 程 查 看 如 下 资 源, 了 解 有 关 利 用 IP 的 更 多 信 息 : Vivado 设 计 套 件 用 户 指 南 : 用 IP 进 行 设 计 (UG896) [ 参 考 资 料 9] Vivado 设 计 套 件 快 速 入 门 视 频 : 用 Vivado 配 置 和 管 理 可 用 的 IP 规 划 IP 要 求 对 任 何 新 工 程 而 言 规 划 IP 要 求 都 是 最 重 要 的 环 节 之 一 Send Feedback 94

95 第 4 章 : 设 计 创 建 根 据 所 需 功 能 以 及 其 它 设 计 目 的 评 估 赛 灵 思 或 其 它 第 三 方 合 作 伙 伴 提 供 的 IP 选 项, 回 答 下 列 问 题 : 与 现 成 的 IP 核 相 比, 定 制 逻 辑 是 否 更 好? 用 业 界 标 准 格 式 封 装 定 制 设 计, 便 于 在 多 个 工 程 中 重 复 使 用 是 否 有 意 义? 考 虑 需 要 使 用 的 接 口, 比 如 存 储 器 接 口 网 络 接 口 和 外 设 接 口 AMBA AXI 赛 灵 思 已 对 符 合 开 放 式 ABMA 4 AXI4 互 联 协 议 的 IP 接 口 进 行 了 标 准 化 这 种 标 准 化 能 够 简 化 赛 灵 思 和 第 三 方 提 供 商 提 供 的 IP 的 集 成 工 作 并 实 现 系 统 性 能 最 大 化 为 有 效 地 映 射 到 自 己 的 FPGA 器 件 架 构 中, 赛 灵 思 与 ARM 共 同 制 定 了 AXI4 AXI4-Lite 和 AXI4-Stream 规 范 AXI 专 为 高 性 能 高 时 钟 频 率 系 统 设 计 制 定, 适 用 于 高 速 互 联 AXI4-Lite 是 AXI4 的 精 简 版, 主 要 用 于 接 入 控 制 寄 存 器 和 状 态 寄 存 器 AXI-Stream 用 于 从 主 设 备 到 从 设 备 的 单 向 数 据 流 典 型 应 用 包 括 DSP 视 频 和 通 信 Vivado 设 计 套 件 IP Catalog IP Catalog 是 查 找 赛 灵 思 提 供 的 IP 的 唯 一 地 方 用 于 嵌 入 式 系 统 DSP 通 信 和 接 口 的 IP 核 均 在 一 个 地 方 提 供 在 IP Catalog 中 可 以 查 阅 所 有 提 供 的 IP 核, 阅 读 有 关 任 何 IP 的 产 品 指 南 变 更 日 志 产 品 网 页 和 问 答 记 录 可 以 通 过 GUI 访 问 IP Catalog Tcl 脚 本 能 够 自 动 完 成 IP 核 的 定 制 工 作 定 制 IP 赛 灵 思 使 用 业 界 标 准 的 IP-XACT 格 式 交 付 IP, 并 提 供 供 封 装 定 制 IP 的 工 具 IP 封 装 器 (IP Packager) 相 应 地, 用 户 也 可 以 把 自 己 定 制 的 IP 添 加 到 IP Catalog 中, 并 创 建 可 供 团 队 或 整 个 企 业 共 享 的 IP 库 来 自 第 三 方 提 供 商 的 IP 也 可 以 加 入 本 IP Catalog 中 从 IP Catalog 选 择 IP 所 有 赛 灵 思 和 第 三 方 厂 商 的 IP 均 按 应 用 分 类, 分 成 通 信 和 网 络 视 频 和 图 像 处 理 汽 车 Send Feedback 95

96 第 4 章 : 设 计 创 建 和 工 业 等 不 同 类 型 的 IP 根 据 该 编 目 方 法 可 以 浏 览 I Catalog 查 看 自 己 感 兴 趣 的 领 域 的 IP 核 视 频 : 有 关 如 何 使 用 IP Catalog 在 工 程 中 定 制 添 加 和 实 例 化 IP, 敬 请 观 看 :Vivado 设 计 套 件 快 速 入 门 视 频 : 定 制 和 实 例 化 IP IP Catalog 中 的 大 部 分 IP 都 是 免 费 提 供 的 但 部 分 高 价 值 IP 要 收 取 相 应 的 成 本 并 需 要 许 可 证 IP Catalog 会 告 知 用 户 IP 是 否 需 要 购 买 以 及 许 可 证 的 状 态 在 从 IP Catalog 中 选 择 IP 的 时 候, 应 根 据 设 计 要 求 以 及 特 定 IP 的 功 能 考 虑 下 列 关 键 特 性 : 该 IP 所 需 的 器 件 资 源 ( 见 对 应 的 IP 产 品 指 南 ) 拟 采 用 的 器 件 的 速 度 等 级 是 否 支 持 该 IP(IP 选 择 往 往 决 定 速 度 等 级 选 择 )? 如 果 支 持, 最 大 可 实 现 的 吞 吐 量 以 及 最 高 频 率 (Fmax) 是 多 少? 设 计 中 所 需 的 与 板 上 辅 助 芯 片 通 信 的 外 部 接 口 标 准 : 以 太 网 Interlaken 或 PCIe 等 业 界 标 准 接 口 存 储 器 接 口 : 存 储 器 接 口 的 数 量 尺 寸 和 性 能 Aurora 等 赛 灵 思 专 有 接 口 注 意 : 也 可 选 择 设 计 自 己 的 定 制 接 口 IP 支 持 的 片 上 总 线 协 议 ( 应 用 接 口 ) 与 设 计 其 余 部 分 互 动 所 需 的 片 上 总 线 协 议 例 如 : AXI4 AXI4-Lite AXI4-Stream 如 果 涉 及 多 重 协 议, 如 果 要 使 用 IP Catalog 中 的 基 础 架 构 IP, 可 能 必 须 选 择 桥 接 IP 核 例 如 : AXI-AHB 桥 接 AI-AXI 互 联 AXI-PCIe 桥 接 AXI-PLB 桥 接 Send Feedback 96

97 第 4 章 : 设 计 创 建 IP 和 I/O 与 外 界 互 动 的 IP 必 须 与 I/O 引 脚 建 立 关 联 为 此, 赛 灵 思 建 议 用 户 在 选 择 IP 时 应 考 虑 I/O 分 配 问 题 具 体 包 括 : 并 行 接 口 串 行 接 口 I/O 电 压 和 I/O 标 准 并 行 接 口 根 据 I/O bank 中 可 用 I/O 的 数 量 决 定 选 择 哪 个 I/O bank 串 行 接 口 低 速 串 行 接 口 : 可 以 使 用 属 于 通 用 IOB 组 成 部 分 的 ISERDES/OSERDES 高 速 串 行 接 口 : 可 以 使 用 低 功 耗 千 兆 位 收 发 器 (GT) I/O 电 压 和 I/O 标 准 如 果 I/O 电 压 为 1.8V, 应 选 择 支 持 Vccio 为 1.8V 的 I/O bank ; 在 低 数 据 速 率 下, 应 使 用 单 端 I/O 标 准, 如 LVCMOS ; 在 高 数 据 速 率 下, 应 使 用 差 分 I/O 标 准, 如 : LVDS 差 分 SSTL 差 分 HSTL IP 选 择 和 定 制 的 决 策 流 程 实 例 通 信 和 网 络 系 统 设 计 时 应 考 虑 以 下 问 题 : 10 端 口 10G 以 太 网 MAC 汇 聚 系 统 配 置 用 PCIe 接 口 外 部 存 储 器 存 储 根 据 要 求 和 可 用 的 IP, 用 户 现 在 必 须 检 查 每 个 IP 的 主 要 功 能 特 性, 确 定 其 是 否 适 用 于 自 己 的 设 计, 否 需 要 定 制 通 过 这 个 过 程, 用 户 就 可 以 根 据 自 己 的 用 途 选 择 合 适 的 IP Send Feedback 97

98 第 4 章 : 设 计 创 建 10 端 口 10G 以 太 网 MAC 汇 聚 IP 支 持 XGMII 接 口 选 项 如 果 系 统 需 要 使 用 XAUI 或 10G PCS/PMA 作 为 其 外 部 接 口, 必 须 选 择 XGMII 选 项 赛 灵 思 提 供 的 XAUI 和 10G PCS/PMA IP 支 持 XGMII 接 口 由 于 来 自 MAC 的 数 据 传 输 是 通 过 AXI4-Stream 接 口 完 成 的, 系 统 必 须 具 备 接 收 来 自 MAC 的 数 据 的 能 力 随 后 必 须 与 AXI 互 联 相 连 接, 以 与 其 它 IP 核 通 信, 或 通 过 专 有 协 议 采 用 封 装 程 序 端 接 配 置 该 内 核 时, 既 可 通 过 可 选 的 AXI-Ltie 接 口, 也 可 通 过 简 单 的 读 / 写 接 口 如 果 选 择 AXI-Lite 接 口, 系 统 内 部 应 能 够 支 持 AXI-Lite 用 于 系 统 配 置 的 PCIe 接 口 除 了 上 面 提 及 的 考 虑 实 现, 用 户 还 必 须 清 楚 接 口 的 数 据 速 率 要 求 为 便 于 用 户 做 出 选 择, 可 参 阅 表 4-1 : 器 件 的 数 据 速 率 要 求 表 4-1 : 器 件 的 数 据 速 率 要 求 Artix -7 Kintex -7 Virtex -7T Virtex-7 XT Virtex-7 GEN ( 集 成 模 块 ) Gen2 Gen2 Gen2 Gen3 Gen3 宽 度 X4 X8 X8 X8 X8 模 块 数 量 串 行 数 据 速 率 (Gb/s) 外 部 存 储 器 存 储 用 户 需 要 清 楚 系 统 中 需 要 支 持 的 DDR 存 储 器 的 数 量 在 该 设 计 中, 要 求 总 的 存 储 数 据 速 率 约 为 80Gb/s 有 效 带 宽, 或 100Gb/s 原 始 带 宽 ( 考 虑 MC 效 率 ) 具 体 实 现 方 法 有 如 下 数 种 : 使 用 单 个 1600 Mbps 速 率 的 64 位 DDR 控 制 器 使 用 4 个 1600 Mbps 速 率 的 16 位 DDR 控 制 器 由 于 来 自 存 储 器 控 制 器 的 数 据 传 输 是 通 过 AXI4 接 口 完 成 的, 该 系 统 应 能 够 接 收 来 自 MC 的 数 据 用 户 可 以 使 用 一 半 或 者 四 分 之 一 速 率 的 接 口 在 使 用 四 分 之 一 速 率 的 接 口 时,MC 的 应 用 数 据 宽 度 为 8xDDR3 例 如, 对 16 位 DDR3,AXI-Stream 的 数 据 宽 度 是 128 位 宽 可 以 使 用 AXI 互 联 IP 把 从 存 储 器 控 制 器 IP 和 负 责 访 问 存 储 器 的 主 外 设 相 连 在 这 种 情 况 下, 来 自 IP 核 的 数 据 会 通 过 Send Feedback 98

99 第 4 章 : 设 计 创 建 AXI4-Stream 口, 此 时 需 要 添 加 一 个 DMA, 供 控 制 器 把 AXI4-Stream 转 换 为 AXI4 赛 灵 思 建 议 使 用 MIG( 存 储 器 接 口 生 成 器 ) 来 生 成 存 储 器 控 制 器, 还 可 以 在 MIG 指 导 下 选 择 I/O bank 定 制 IP 可 通 过 GUI 或 通 过 Tcl 脚 本 定 制 IP 使 用 定 制 GUI 使 用 Tcl 脚 本 使 用 定 制 GUI 使 用 图 形 界 面 是 查 找 搜 索 和 定 制 IP 的 最 简 单 的 途 径 每 个 IP 都 有 为 其 定 制 的 一 套 标 签 或 页 面 同 时 提 供 相 关 的 配 置 选 项 下 面 就 是 这 种 定 制 窗 口 的 实 例 首 先 为 IP 创 建 唯 一 的 定 制 方 案, 生 成 对 应 的 XCI 文 件 随 后 用 这 个 XCI 文 件 就 可 以 为 IP 生 成 各 种 类 型 的 输 出 结 果 图 4-2 :IP 定 制 窗 口 使 用 Tcl 脚 本 基 本 上 每 个 GUI 操 作 都 会 发 出 条 Tcl 命 令 IP 创 建 包 括 所 有 定 制 选 项 的 设 置, 无 需 用 户 干 预, 即 可 用 Tcl 脚 本 自 动 完 成 Send Feedback 99

100 第 4 章 : 设 计 创 建 用 户 需 要 知 道 配 置 选 项 的 名 称 及 允 许 设 置 的 值 一 般 情 况 下 用 户 首 先 使 用 GUI 完 成 定 制 IP, 然 后 用 定 制 IP 创 建 脚 本 在 生 成 Tcl 脚 本 之 后, 用 户 就 可 以 方 便 地 根 据 自 己 的 需 要 修 改 脚 本, 比 如 修 改 数 据 大 小 采 用 Tcl 脚 本 创 建 IP 方 便 实 现 自 动 化, 比 如 在 使 用 版 本 控 制 系 统 的 时 候 敬 请 参 阅 : 第 37 页 的 非 工 程 模 式 下 的 资 源 管 理 和 第 38 页 的 工 程 模 式 下 的 资 源 管 理 IP 输 出 产 品 IP 定 制 完 成 后, 工 具 会 创 建 一 个 包 含 所 有 所 选 参 数 值 的 XCI 文 件 每 个 Vivado IDE 版 本 仅 支 持 一 个 版 本 的 IP 因 此 赛 灵 思 建 议 用 户 使 用 最 新 的 IP 版 本 如 果 用 户 使 用 较 早 的 IP 版 本, 就 应 保 存 较 老 版 本 的 全 部 输 出 结 果 如 需 了 解 更 多 信 息, 敬 请 参 阅 28 页 的 集 中 化 IP 提 示 : 为 MIG 创 建 的 是.prj 文 件 而 非 XCI 文 件 在 涉 及 IP 的 情 况 下, 后 续 所 有 的 XCI 对 MIG 所 指 的 都 是.prj 格 式 生 成 输 出 结 果 根 据 Vivado 工 具 的 设 置, 默 认 情 况 下,IP 定 制 过 程 中 会 自 动 创 建 部 分 输 出 结 果 用 户 可 以 在 工 程 工 程 设 置 (Project Setting) 中 加 以 修 改 使 用 定 制 过 程 中 生 成 的 组 件 文 件, 用 户 可 以 创 建 IP 提 供 的 任 何 相 关 输 出 结 果, 包 括 : 实 例 化 模 板 用 于 说 明 如 何 实 例 化 IP 综 合 为 在 特 定 的 IP 定 制 视 图 上 运 行 综 合 生 成 脚 本 DCP( 无 关 联 (OOC) 综 合 ) 生 成 综 合 列 表, 这 可 直 接 用 于 较 高 一 级 的 级 中, 无 需 重 新 综 合 设 计 中 的 IP 部 分 测 试 平 台 生 成 用 于 仿 真 环 境 的 测 试 平 台, 供 验 证 IP 功 能 使 用 Send Feedback 100

101 第 4 章 : 设 计 创 建 仿 真 为 使 用 上 述 测 试 平 台 在 特 定 的 IP 定 制 视 图 上 运 行 仿 真 生 成 脚 本 XCI 文 件 和 这 些 输 出 结 果 包 含 着 在 设 计 中 正 确 仿 真 和 综 合 IP 所 需 的 全 部 要 素 ( 例 如 HDL 文 件 和 约 束 文 件 ) RTL 编 码 指 南 用 户 可 能 需 要 编 写 自 己 的 定 制 RTL, 用 于 实 现 粘 合 逻 辑 功 能 或 是 某 些 未 能 找 到 对 应 的 合 适 IP 的 功 能 基 本 功 能 编 写 RTL 的 方 法 应 保 证 能 够 可 靠 地 实 现 功 能 否 则 设 计 的 功 能 会 与 RTL 仿 真 结 果 大 相 径 庭 RTL 应 避 免 使 用 竞 态 条 件, 避 免 常 见 的 编 码 漏 洞, 以 免 仿 真 结 果 和 综 合 结 果 不 匹 配 在 编 写 可 综 合 的 RTL 代 码 时, 应 遵 循 一 些 基 本 指 南 有 大 量 现 成 的 有 关 可 综 合 RTL 代 码 的 文 献 对 这 些 指 南 都 有 论 及 下 文 介 绍 的 指 南 是 比 较 常 见 的, 但 并 非 全 部 您 也 可 运 行 203 页 的 检 查 您 的 HDL 代 码 中 介 绍 的 一 组 RTL DRC 阻 塞 赋 值 语 句 与 非 阻 塞 赋 值 语 句 不 当 使 用 Verilog 阻 塞 赋 值 语 句 和 非 阻 塞 赋 值 语 句 可 能 诱 发 竞 态 条 件, 导 致 RTL 仿 真 与 网 表 仿 真 不 匹 配 作 为 一 般 性 规 则, 赛 灵 思 建 议 对 所 有 时 序 元 件 使 用 非 阻 塞 赋 值 语 句, 对 所 有 组 合 元 件 使 用 阻 塞 赋 值 语 句 这 样 可 以 让 仿 真 事 件 的 序 列 更 加 符 合 预 期, 不 易 导 致 竞 态 条 件 敏 感 列 表 不 完 整 采 用 进 程 语 句 (VHDL) 或 always 语 句 块 (Verilog) 的 敏 感 列 表 是 一 个 进 程 语 句 (VHDL) 或 always 语 句 块 (Verilog) 所 敏 感 的 信 号 的 一 个 列 表 当 列 表 所 列 信 号 的 值 发 生 变 化, 进 程 语 句 (VHDL) 或 always 语 句 块 (Verilog) 就 会 触 发 并 执 行 其 语 句 在 敏 感 列 表 不 完 整 的 情 况 下, 虽 然 用 户 可 以 得 到 想 要 的 硬 件, 但 RTL 仿 真 和 综 合 后 仿 真 的 结 果 会 相 左 此 时 某 综 合 工 具 可 能 会 发 出 提 示, 警 告 有 不 完 整 敏 感 列 表 存 在 在 这 种 情 况 下 应 检 查 综 合 日 志 文 件, 在 必 要 时 修 复 RTL 代 码 Send Feedback 101

102 第 4 章 : 设 计 创 建 下 面 的 例 子 介 绍 的 是 使 用 一 个 进 程 和 一 个 always 语 句 块 的 简 单 AND 函 数 敏 感 列 表 完 整, 并 生 成 一 个 LUT VHDL 进 程 编 码 实 例 一 process (a,b) begin c <= a and b; end process; Verilog Always 语 句 块 编 码 实 例 一 or b) c <= a & b; 但 是, 如 果 信 号 b 从 敏 感 列 表 中 略 去, 虽 然 综 合 工 具 还 是 会 生 成 组 合 逻 辑 (AND 函 数 ), 但 RTL 仿 真 可 能 无 法 触 发 根 据 b 的 变 化 对 c 的 运 算 结 果,RTL 仿 真 行 为 和 实 际 电 路 行 为 相 左 下 面 是 警 示 信 息 的 范 例 : WARNING: [Synth 8-567] referenced signal <signal name> should be on the sensitivity list [<file name>:<line number>] 在 Verilog 中, 如 果 要 定 义 一 个 组 合 性 always 语 句 块, 应 使 用 带 星 号 的 敏 感 列 表 : 这 样 会 自 动 使 用 整 个 敏 感 列 表 所 含 内 容 RTL 代 码 中 的 延 迟 应 避 免 在 RTL 代 码 中 使 用 任 何 类 型 的 延 迟, 不 论 是 使 用 Wait 或 AFTER(VHDL), 还 是 使 用 #delay (Verilog) 延 迟 无 法 综 合 到 组 件 中 在 包 含 明 确 的 延 迟 赋 值 的 设 计 中, 仿 真 设 计 中 体 现 的 功 能 与 综 合 后 的 设 计 的 功 能 往 往 无 法 吻 合 锁 存 器 调 用 综 合 器 根 据 组 合 性 非 时 序 逻 辑 中 的 不 完 整 条 件 表 达 来 对 锁 存 器 进 行 调 用, 比 如 : 不 带 else 子 句 的 if 语 句 不 带 上 升 沿 或 下 降 沿 结 构 的 寄 存 器 Send Feedback 102

103 第 4 章 : 设 计 创 建 不 带 else 子 句 的 if 语 句 的 VHDL 编 码 实 例 process (G, D) begin if (G= 1 ) then Q <= D; end if; end process; 不 带 else 子 句 的 if 语 句 的 Verilog 编 码 实 例 or Dw) if (G) Q = D; 经 常 会 发 生 丢 失 分 支 条 件 或 边 沿 的 错 误 应 检 查 自 己 的 综 合 日 志, 查 看 是 否 有 正 在 被 调 用 的 锁 存 器 确 定 所 有 锁 存 器 都 是 应 要 求 调 用 的, 而 不 是 因 疏 忽 调 用 的 赛 灵 思 建 议 用 在 FPGA 设 计 中 避 免 使 用 锁 存 器, 因 为 使 用 锁 存 器 会 加 大 时 序 分 析 难 度, 即 便 能 够 通 过 仿 真 遵 照 综 合 工 具 文 档 中 建 议 的 编 码 方 式, 避 免 发 生 调 用 锁 存 器 的 情 况 复 位 规 范 不 完 整 在 下 面 的 代 码 段 实 例 中, 只 有 reg1 在 复 位 分 支 中 有 赋 值,reg2 则 没 有 综 合 操 作 将 假 设 在 激 活 复 位 时,reg2 保 持 其 值 不 变 因 此 复 位 信 号 会 连 接 到 CE 引 脚, 从 而 创 建 另 一 套 独 有 的 控 制 集 敬 请 参 阅 : 第 108 页 的 控 制 信 号 和 控 制 集 clk) if (rst) reg1<= 1 b0; else begin reg1 <= din1; reg2 <= din2; end 提 示 : 在 使 用 复 位 的 时 候, 应 注 意 确 保 复 位 分 支 中 不 会 发 生 漏 掉 寄 存 器 的 错 误 使 用 Vivado 设 计 套 件 HDL 模 板 建 议 : 在 创 建 RTL 或 实 例 化 赛 灵 思 原 语 时 应 使 用 Vivado 设 计 套 件 语 言 模 板 这 些 语 言 模 板 包 括 所 建 议 的 编 码 结 构, 用 于 正 确 建 立 到 赛 灵 思 FPGA 器 件 架 构 的 接 口 在 许 多 情 况 下, 使 用 这 些 模 板 既 能 简 化 设 计, 又 能 改 善 结 果 质 量 Send Feedback 103

104 第 4 章 : 设 计 创 建 要 从 Vivado 设 计 套 件 GUI 访 问 这 些 模 板, 应 : 1. 转 到 Windows>Language Template 2. 选 择 所 需 的 模 板 高 效 率 HDL 编 码 在 代 码 中 使 用 循 环 为 尽 可 能 减 少 编 码 工 作 量,HDL 中 常 常 使 用 循 环 在 调 用 硬 件 时, 循 环 展 开 可 能 会 导 致 产 生 低 效 率 结 构, 进 而 降 低 性 能 ( 包 括 面 积 和 时 序 两 个 方 面 ) 将 未 展 开 的 逻 辑 映 射 到 可 用 资 源 上, 可 能 会 产 生 质 量 不 是 最 佳 的 设 计 赛 灵 思 建 议 在 表 达 相 同 功 能 时, 应 使 用 该 工 具 易 于 解 读 的 结 构 下 面 是 使 用 for 循 环 的 优 先 级 MUX 代 码 实 例 : always@(posedge clk) begin for(i=0;i<=3;i=i+1) begin if(en[i]) dout[i] <= i; end end 同 一 功 能 也 可 以 用 Case/if-else 语 句 实 现, 而 且 该 工 具 更 容 易 解 读 并 生 成 有 效 的 硬 件 不 过 在 某 些 时 候 用 for 循 环 就 可 以 在 不 影 响 结 果 质 量 的 情 况 下 达 到 要 求 的 简 明 性 ( 例 如 在 总 线 逆 转 (bus-reversal) 代 码 中 ) 在 代 码 编 写 中 使 用 循 环 的 实 例 reg [3:0] dout; integer i; always@(posedge clk) begin for(i=0;i<=3;i=i+1) dout[3-i] <= din[i]; end 提 示 : 用 调 用 循 环 的 方 法 实 现 基 本 连 接 功 能 是 可 以 接 受 的 但 在 代 码 调 用 硬 件 资 源 ( 非 走 线 / 互 联 ) 的 时 候, 最 好 避 免 使 用 循 环 状 态 机 指 南 可 以 使 用 多 种 方 法 为 状 态 机 编 码 遵 守 一 定 的 编 码 方 式, 可 确 保 综 合 工 具 有 限 状 态 机 (FSM) 抽 取 算 法 能 够 正 确 识 别 和 优 化 状 机, 并 改 善 电 路 的 仿 真 时 序 和 调 试 性 能 状 态 机 的 选 择 取 决 于 目 Send Feedback 104

105 第 4 章 : 设 计 创 建 标 架 构 及 状 态 机 具 体 的 大 小 和 行 为 下 面 介 绍 的 是 几 种 不 同 实 现 方 案 的 基 本 权 衡 取 舍 Mealy 型 与 Moore 型 众 所 周 知, 状 态 机 分 Mealy 和 Moore 两 种 实 现 方 式 Mealy 和 Moore 之 间 的 主 要 区 别 在 于 Mealy 状 态 机 同 时 根 据 当 前 状 态 和 状 态 机 的 输 入 来 确 定 输 出 值, 而 Moore 状 态 机 则 仅 根 据 当 前 状 态 来 确 定 输 出 值 一 般 来 说, 用 FPGA 器 件 能 够 实 现 最 佳 的 Moore 状 态 机, 因 为 大 多 数 情 况 下 one-hot 状 态 机 是 首 选 编 码 方 法, 输 出 值 几 乎 无 需 解 码 逻 辑 就 二 进 制 编 码 而 言, 有 时 使 用 Mealy 状 态 机 能 实 现 更 加 紧 凑 或 速 度 更 快 的 状 态 机 但 在 不 知 道 状 态 机 具 体 状 况 的 条 件 下, 难 以 做 出 判 断 One-hot 编 码 与 二 进 制 编 码 状 态 机 设 计 有 多 种 编 码 方 法 可 供 选 用 FPGA 设 计 中 最 常 见 的 两 种 是 二 进 制 法 和 one-hot 法 大 多 数 现 代 综 合 工 具 都 包 含 有 FSM 抽 取 算 法, 能 够 识 别 状 态 机 代 码, 并 选 择 最 佳 编 码 方 法 有 时 手 动 编 制 编 码 方 法 可 能 更 有 优 势, 因 为 这 样 可 以 更 好 地 控 制 设 计, 而 且 还 有 可 能 减 轻 设 计 实 现 后 的 调 试 工 作 如 需 了 解 有 关 状 态 机 抽 取 功 能 的 详 情, 敬 请 参 阅 综 合 工 具 文 档 安 全 与 速 度 在 对 状 态 机 编 码 时, 必 须 了 解 到 一 般 情 况 下 存 在 两 个 相 互 冲 突 的 目 标, 即 安 全 与 速 度 安 全 的 状 态 机 设 计 指 如 果 状 态 机 收 到 未 知 输 入 或 是 进 入 未 知 状 态, 该 状 态 机 可 能 在 下 一 周 期 恢 复 到 已 知 状 态, 并 从 该 恢 复 状 态 中 复 原 另 一 方 面, 如 果 不 要 这 项 要 求 ( 无 需 恢 复 状 态 ), 许 多 时 候 可 以 用 较 少 逻 辑 实 现 速 度 较 快 的 状 态 机 设 计 安 全 状 态 既 可 以 在 状 态 机 的 Case 语 句 中 编 写 一 个 默 认 状 态, 也 可 以 规 定 综 合 工 具 用 安 全 模 式 实 现 状 态 机 码 如 果 需 要 安 全 状 态 功 能, 一 般 来 说 二 进 制 编 码 更 合 适, 因 为 二 进 制 编 码 使 用 的 未 赋 值 状 态 较 少 在 实 现 安 全 状 态 机 时, 敬 请 阅 读 综 合 工 具 文 档 了 解 具 体 做 法 枚 举 类 型 SystemVerilog 加 入 了 一 个 新 数 据 类 型 enum( 枚 举 的 缩 写 ), 在 许 多 时 候 有 助 于 创 建 状 态 机 使 用 enum 数 据 类 型, 可 以 让 命 名 状 态 避 免 隐 含 地 映 射 到 寄 存 器 编 码 这 样 综 合 过 程 可 以 灵 活 地 选 用 Send Feedback 105

106 第 4 章 : 设 计 创 建 状 态 机 编 码 方 法, 灵 活 地 进 行 仿 真, 可 以 根 据 名 称 显 示 和 查 询 具 体 状 态, 从 而 在 总 体 上 改 善 调 试 工 作 出 于 这 些 原 因, 赛 灵 思 建 议 如 果 SystemVerilog 或 VHDL( 一 般 都 有 此 项 功 能 ) 是 选 定 的 设 计 语 言 的 话, 应 使 用 enum 类 型 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 综 合 (UG901) [ 参 考 资 料 12] 保 存 层 级 边 界 保 存 层 级 边 界 可 形 成 硬 化 边 界, 从 而 避 免 跨 界 优 化 试 考 虑 下 列 代 码 段 实 例 : assign ored_signal = din[3] din[]2; sub sub_inst (.clk (clk),.din0 (ored_signal),.din1 (din[1:0]),.dout (dout)); endmodule module sub.. assign din_tmp = din1 din0; endmodule 这 里 有 有 两 个 或 (OR): 顶 层 的 ored_signal 分 支 中 的 din_tmp 如 果 两 者 之 间 的 层 级 边 界 使 用 综 合 属 性 或 约 束 加 以 保 存, 这 两 个 OR 就 无 法 合 并, 从 而 给 设 计 的 面 积 和 时 序 造 成 不 利 影 响 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 91 页 的 定 义 理 想 的 设 计 层 级 避 免 触 发 器 发 生 边 沿 混 合 如 果 使 用 时 钟 的 正 负 时 钟 沿 触 发 时 序 元 件, 则 由 这 两 种 不 同 的 极 性 触 发 的 元 件 之 间 的 路 径 只 利 用 了 半 个 时 钟 周 期 这 样 会 让 时 序 更 加 紧 张 提 示 : 如 果 需 要 同 时 使 用 时 钟 正 负 时 钟 沿 采 集 或 者 提 供 外 部 DDR 类 型 数 据, 请 使 用 赛 灵 思 IDDR/ODDR 原 语 使 用 调 试 逻 辑 编 码 效 率 决 定 着 设 计 实 现 的 效 率 不 必 要 的 结 构 往 往 导 致 不 必 要 的 逻 辑 在 设 计 对 设 计 功 能 没 有 必 要, 但 对 设 计 分 析 有 用 的 调 试 信 号 或 逻 辑 的 时 候, 应 牢 记 这 点 许 多 时 候 这 种 调 试 代 码 在 设 计 Send Feedback 106

107 第 4 章 : 设 计 创 建 阶 段 有 意 义, 但 随 着 设 计 的 成 熟 就 变 成 无 用 的 累 赘 在 设 计 这 种 逻 辑 的 时 候, 应 注 意 既 能 让 它 起 到 调 试 作 用, 又 不 会 保 留 在 最 终 设 计 中 要 达 成 这 个 目 的 可 以 使 用 几 种 方 法 : 使 用 ifdef 参 数 保 护 逻 辑, 或 使 用 设 置 可 禁 用 或 启 用 这 些 代 码 段 的 类 属 ; 在 编 写 逻 辑 的 时 候, 注 意 采 用 便 于 将 来 将 其 注 释 掉 的 方 法 ; 用 模 块 或 实 体 单 独 的 调 试 版 本, 以 备 将 来 加 以 替 换 不 管 采 用 何 种 方 法, 思 路 不 变 : 不 仅 有 好 的 方 法 来 调 试 设 计 代 码 和 实 现 的 硬 件, 还 应 在 不 需 要 逻 辑 的 时 候 能 够 有 好 的 办 法 加 以 去 除, 这 非 常 重 要 如 需 了 解 有 关 调 试 方 法 的 详 细 信 息, 敬 请 参 阅 第 6 章 配 置 和 调 试 数 组 型 端 口 声 明 虽 然 VHDL 允 许 把 端 口 声 明 为 数 组 型, 出 于 下 列 原 因, 赛 灵 思 建 议 应 避 免 采 取 这 种 做 法 : 与 Verilog 不 兼 容 无 法 存 储 和 重 新 创 建 原 始 数 组 声 明 软 件 引 脚 名 称 不 当 关 联 与 Verilog 不 兼 容 在 Verilog 中 不 存 在 把 端 口 声 明 为 数 组 型 的 同 等 方 法 这 不 仅 会 限 制 跨 编 程 语 言 的 可 移 植 性, 同 时 还 会 限 制 把 代 码 用 于 多 语 言 工 程 的 能 力 无 法 存 储 和 重 新 创 建 原 始 数 组 声 明 如 果 在 VHDL 中 把 端 口 声 明 为 数 组 型, 原 始 的 数 组 声 明 无 法 存 储 和 重 新 创 建 无 论 是 电 子 数 据 交 换 格 式 (EDIF) 网 表 还 是 赛 灵 思 数 据 库 都 无 法 为 该 数 组 存 储 原 始 类 型 声 明 因 此 当 生 成 仿 真 网 表 时, 没 有 信 息 用 于 说 明 该 端 口 原 本 是 怎 么 声 明 的 生 成 的 网 表 一 般 情 况 下 端 口 声 明 产 生 的 信 号 名 称 无 法 匹 配 不 仅 是 顶 层 端 口 声 明 会 发 生 这 种 情 况, 层 级 设 计 的 较 低 层 端 口 声 明 也 会 发 生 这 种 情 况, 因 为 可 以 使 用 KEEP_HIERARCHY 来 试 图 保 存 那 些 网 表 名 Send Feedback 107

108 第 4 章 : 设 计 创 建 软 件 引 脚 名 称 的 不 当 关 联 数 组 型 端 口 声 明 会 导 致 软 件 引 脚 名 称 与 原 始 源 代 码 之 间 的 关 联 错 误 由 于 Vivado 设 计 套 件 必 须 把 每 一 个 I/O 当 作 单 独 的 标 签 对 待, 这 样 单 列 端 口 的 名 称 就 可 能 与 原 始 设 置 不 同 结 果 会 造 成 设 计 约 束 通 过 设 计 分 析 及 设 计 报 告 等 的 分 析 难 度 加 大 控 制 信 号 和 控 制 集 控 制 集 指 用 于 驱 动 任 何 给 定 SRL RAM 或 寄 存 器 的 控 制 信 号 组 ( 设 置 / 复 位 时 钟 使 能 和 时 钟 ) 对 任 意 控 制 信 号 的 独 特 组 合, 都 能 构 成 唯 一 的 控 制 集 其 后 的 原 因 是 一 个 重 要 的 概 念, 即 每 个 Slice 中 的 寄 存 器 都 共 享 相 同 的 控 制 信 号, 因 此 只 有 使 用 相 同 控 制 集 的 寄 存 器 可 以 打 包 到 同 一 个 Slice 中 同 时 拥 有 多 个 唯 一 控 制 集 的 设 计 会 造 成 大 量 资 源 浪 费 和 布 局 选 项 数 量 减 少, 导 致 功 耗 上 升, 性 能 下 降 从 布 局 的 角 度 而 言, 拥 有 较 少 数 量 控 制 集 的 设 计 能 提 供 更 多 选 项 和 更 高 灵 活 性, 一 般 也 能 产 生 更 加 理 想 的 结 果 复 位 复 位 是 需 要 在 设 计 中 考 虑 和 设 限 的 更 常 见 也 更 重 要 的 控 制 信 号 之 一 复 位 会 给 用 户 设 计 的 性 能 面 积 和 功 耗 产 生 严 重 的 影 响 经 调 用 得 到 的 同 步 代 码 会 产 生 下 列 资 源 : LUT 寄 存 器 移 位 寄 存 器 LUT(SRL) Block 或 LUT 存 储 器 DSP48 寄 存 器 复 位 的 选 择 和 使 用 会 影 响 上 述 组 件 的 选 择, 导 致 给 定 设 计 中 资 源 利 用 率 下 降 如 果 在 阵 列 上 误 置 复 位, 会 产 生 截 然 不 同 的 结 果, 可 能 是 调 用 出 一 个 block RAM, 也 可 能 是 调 用 出 数 千 个 寄 存 器 如 不 必 要 在 流 水 线 上 描 述 复 位, 结 果 可 能 是 几 个 SRL LUT, 也 可 能 是 数 百 个 寄 存 器, 差 异 同 样 巨 大 在 乘 法 器 输 或 输 出 处 描 述 异 步 复 位, 可 能 造 成 寄 存 器 布 置 在 Slice 上 而 非 DSP 模 块 上 在 此 类 情 Send Feedback 108

109 第 4 章 : 设 计 创 建 况 以 及 在 其 它 情 况 下, 会 给 资 源 数 量 造 成 严 重 不 利 影 响 而 且 总 体 功 耗 和 性 能 也 会 受 到 严 重 不 利 影 响 使 用 复 位 的 时 间 和 位 置 FPGA 器 件 提 供 有 专 用 的 全 局 置 位 / 复 位 信 号 (GSR) 这 些 信 号 能 够 在 器 件 配 置 完 成 时, 把 所 有 寄 存 器 初 始 化 到 HDL 代 码 中 初 始 值 设 定 的 状 态 如 果 没 有 设 定 初 始 状 态, 就 将 其 默 认 为 逻 辑 零 由 此 不 管 HDL 代 码 中 对 复 位 拓 扑 做 何 种 规 定, 在 配 置 结 束 时 每 个 寄 存 器 都 会 处 于 一 个 已 知 的 状 态 因 此 没 有 必 要 单 独 为 初 始 化 器 件 而 编 写 全 局 复 位 代 码 赛 灵 思 强 烈 建 议 用 户 仔 细 判 断 何 时 设 计 需 要 复 位, 何 时 不 需 要 复 位 限 制 复 位 使 用 的 方 法 如 下 : 限 制 复 位 网 络 的 总 体 扇 出 减 少 路 由 复 位 所 需 的 互 联 数 量 简 化 复 位 路 径 的 时 序 从 而 在 许 多 情 况 下 能 够 从 整 体 上 改 善 性 能 缩 小 面 积 和 降 低 功 耗 建 议 : 评 估 每 一 个 异 步 时 钟, 尝 试 判 断 正 常 运 行 是 否 需 要 复 位 在 不 确 定 确 实 需 要 的 情 况 下, 切 勿 将 复 位 编 码 为 默 认 项 使 用 功 能 仿 真 应 能 够 轻 松 地 判 断 是 否 需 要 复 位 对 没 有 编 码 复 位 功 能 的 逻 辑, 在 选 择 用 于 映 射 逻 辑 的 FPGA 资 源 方 面 具 有 高 得 多 的 灵 活 性 例 如, 如 果 简 单 的 延 迟 线 ( 移 位 寄 存 器 ) 编 写 有 位 功 能,Vivado 设 计 工 具 很 有 可 能 把 延 迟 线 映 射 到 带 有 通 用 复 位 功 能 的 寄 存 器 集 中 如 果 省 略 复 位 功 能, 同 一 逻 辑 可 能 生 成 : SRL SRL 和 寄 存 器 组 合 全 部 寄 存 器 LUT 或 Block 存 储 器 Send Feedback 109

110 第 4 章 : 设 计 创 建 综 合 工 具 随 后 能 为 该 代 码 选 择 最 优 资 源, 为 了 实 现 可 能 的 最 佳 结 果, 应 考 虑 到 以 下 因 素, 如 : 要 求 的 功 能 性 能 要 求 可 用 器 件 资 源 功 耗 同 步 复 位 与 异 步 复 位 如 果 需 要 复 位, 赛 灵 思 建 议 代 码 同 步 复 位 与 异 步 复 位 相 比, 同 步 复 位 拥 有 众 多 优 势 同 步 复 位 可 以 直 接 映 射 到 FPGA 器 件 架 构 中 的 更 多 资 源 元 件 ; DSP48 和 blockram 等 部 分 资 源 只 为 模 块 中 的 寄 存 器 元 件 提 供 同 步 复 位 ; 当 需 要 异 步 复 位 这 些 资 源 元 件 的 寄 存 器 元 件 时, 不 能 将 这 些 寄 存 器 直 接 调 用 到 这 些 资 源 模 块 中, 否 则 会 造 成 功 能 异 常 异 步 复 位 还 会 影 响 通 用 逻 辑 结 构 的 性 能 由 于 所 有 赛 灵 思 FPGA 通 用 寄 存 器 都 能 置 位 / 重 设 为 异 步 或 同 步, 常 认 为 使 用 异 步 复 位 不 会 造 成 时 间 延 迟 这 种 认 识 往 往 是 不 对 的 使 用 全 局 异 步 复 位 时 虽 然 控 制 集 的 数 量 不 会 增 多 但 由 于 需 要 把 这 个 复 位 信 号 路 由 到 所 有 的 寄 存 器 元 件, 时 序 复 杂 性 会 增 大 如 需 了 解 更 多 信 息, 敬 请 参 阅 :152 页 的 无 时 序 复 位 的 使 用 在 使 用 异 步 复 位 的 时 候, 务 必 记 住 同 步 异 步 复 位 的 激 活 如 需 了 解 更 多 信 息, 敬 请 参 阅 : 第 151 页 的 控 制 和 同 步 器 件 启 动 在 需 要 较 大 密 度 或 需 要 精 细 化 布 局 的 情 况 下, 异 步 复 位 能 够 更 加 灵 活 地 实 现 控 制 集 的 重 新 映 射 如 果 在 布 局 更 加 理 想 的 Slice 内 发 现 有 不 兼 容 的 复 位 存 在, 可 以 把 同 步 复 位 重 新 映 射 到 该 寄 存 器 的 数 据 路 径 中 这 样 可 以 在 需 要 的 时 候 缩 小 走 线 宽 度, 提 升 密 度, 从 而 实 现 良 好 的 适 配 和 更 加 优 异 的 性 能 控 制 信 号 极 性 ( 高 电 平 有 效 与 低 电 平 有 效 ) 对 时 钟 使 能 或 复 位 等 高 扇 出 控 制 信 号, 最 好 是 在 整 个 设 计 中 使 用 高 电 平 有 效 信 号 如 果 某 个 块 使 用 低 电 平 有 效 复 位 信 号 或 时 钟 使 能 信 号 工 作, 就 会 在 设 计 中 加 入 反 相 器, 造 成 与 之 相 关 的 时 序 延 迟 在 这 种 情 况 下, 为 了 优 化 反 相 器, 会 造 成 无 综 合 选 项 可 选 或 是 要 求 重 新 构 建 综 合 选 项, 或 要 求 设 计 定 制 解 决 方 案 Send Feedback 110

111 第 4 章 : 设 计 创 建 赛 灵 思 FPGA 时 钟 使 能 信 号 和 复 位 信 号 的 Slice 和 内 部 逻 辑 为 固 有 的 高 电 平 有 效 描 述 低 电 平 有 效 复 位 信 号 或 时 钟 使 能 信 号, 会 造 成 把 更 多 LUT 当 作 简 单 的 反 相 器 用 于 这 些 路 由 注 意 : 本 节 将 介 绍 控 制 信 号 极 性 适 用 于 赛 灵 思 7 系 列 器 件 以 及 此 前 各 代 赛 灵 思 器 件 图 4-3 : 低 电 平 有 效 控 制 信 号 造 成 的 额 外 反 相 器 复 位 编 码 实 例 一 下 面 的 实 例 编 码 所 构 成 的, 是 一 个 高 度 流 水 线 化 的 乘 法 和 极 性 生 成 函 数 : // Reset synchronization CLK) begin reset_sync <= SYS_RST; reset_reg <= reset_sync; end // Uses active-low, async reset // Also using an active-low CE always (posedge CLK, negedge reset_reg) if (!reset_reg) begin data1_reg <= 16 h0000; data2_reg <= 16 h0000; Send Feedback 111

112 第 4 章 : 设 计 创 建 DATA_VALID <= 1 b0; end else if (!NEW_DATA) begin data1_reg <= DATA1; data2_reg <= DATA2; DATA_VALID <= data_valid_delay[3]; end // Uses an async reset when a reset is not necessary CLK, negedge reset_reg) if (!reset_reg) begin parity <= 4 h0; data1_pipe <= 32 h ; data2_pipe <= 32 h ; mult_data_reg <= 32 h ; mult_pipe <= 32 h ; mult_pipe2 <= 32 h ; mult_par_reg <= 36 h ; mult_par_pipe <= 36 h ; data_valid_delay <= 4 h0; DATA_OUT <= 36 h ; end else begin data1_pipe <= data1_reg; data2_pipe <= data2_reg; mult_data_reg <= data1_pipe data2_pipe; mult_pipe <= mult_data_reg; parity <= {^mult_pipe[31:24], ^mult_pipe[23:16], mult_pipe[15:8], ^mult_pipe[7:0]}; mult_pipe2 <= mult_pipe; mult_par_reg <= {parity[3], mult_pipe2[31:24], parity[2], mult_pipe2[23:16], parity[1], mult_pipe2[15:8], parity[0], mult_pipe2[7:0]}; data_valid_delay <= {data_valid_delay[2:0], NEW_DATA}; mult_par_pipe <= mult_par_reg; DATA_OUT <= mult_par_pipe; end 复 位 编 码 实 例 二 上 述 代 码 也 可 以 重 写 成 : 去 除 不 必 要 的 复 位 修 改 异 步 复 位 为 同 步 复 位 修 改 低 电 平 有 效 复 位 为 高 电 平 有 效 复 位 // Reset synchronization, inversion moved here CLK) begin reset_sync <= SYS_RST; reset_reg <= ~reset_sync; end // Notice the inversion above // sync reset has become active High, though: // from the top level port (SYS_RST) perspecive, // it is still active Low. // Also changed to active-high CE CLK) if (reset_reg) begin Send Feedback 112

113 第 4 章 : 设 计 创 建 data1_reg <= 16 h0000; data2_reg <= 16 h0000; DATA_VALID <= 1 b0; end else if (NEW_DATA) begin data1_reg <= DATA1; data2_reg <= DATA2; DATA_VALID <= data_valid_delay[3]; end // Removed unnecessary reset on datapath CLK) begin data1_pipe <= data1_reg; data2_pipe <= data2_reg; mult_data_reg <= data1_pipe data2_pipe; mult_pipe <= mult_data_reg; parity <= {^mult_pipe[31:24], ^mult_pipe[23:16], ^mult_pipe[15:8], ^mult_pipe[7:0]}; mult_pipe2 <= mult_pipe; mult_par_reg <= {parity[3], mult_pipe2[31:24], parity[2], mult_pipe2[23:16], parity[1], mult_pipe2[15:8], parity[0], mult_pipe2[7:0]}; data_valid_delay <= {data_valid_delay[2:0], NEW_DATA}; mult_par_pipe <= mult_par_reg; DATA_OUT <= mult_par_pipe; End 表 4-2 : 编 码 实 例 对 比 将 第 二 个 编 码 实 例 的 实 现 情 况 与 第 一 个 编 码 实 例 的 实 现 情 况 进 行 了 比 较 表 4-2 : 编 码 实 例 比 较 参 数 结 果 资 源 对 特 定 类 型 资 源 的 依 赖 性 降 低 33%-75% 性 能 提 高 36% 时 序 端 点 数 量 减 少 40% 220 MHz 下 的 动 态 功 耗 降 低 40% 此 外, 第 二 个 编 码 实 例 更 简 明, 仿 真 速 度 更 快 复 位 编 码 实 例 三 有 时 设 计 可 能 需 要 进 行 低 电 平 有 效 负 复 位 ( 例 如 AXI 标 准 就 要 求 复 位 信 号 必 须 是 低 电 平 有 效 ) 由 于 异 步 复 位 有 同 步 电 路 来 确 保 激 活 有 时 控, 可 以 对 同 步 电 路 稍 作 修 改, 这 样 就 可 以 减 少 部 分 LUT 数 量 如 需 了 解 异 步 复 位 的 同 步 电 路 的 原 理 图 实 例, 敬 请 参 阅 : 第 151 页 的 控 制 和 同 步 器 件 启 动 Send Feedback 113

114 第 4 章 : 设 计 创 建 原 始 HDL 代 码 (posedge clk or negedge rst_n) //async. negedge reset begin if(!rst_n) synchronizer_ckt <= 4 b0; // 4 stage reset syncornization else synchronizer_ckt <= {synchornizer_ckt[2:0], 1 b1}; end assign synchronized_rst_n = synchronizer_ckt[3]; // the final reset signal which is used to reset the actual flops in the design 复 位 路 径 上 有 一 个 LUT, 如 图 4-4 中 的 黑 圈 中 所 示 由 于 复 位 信 号 被 馈 送 给 许 多 触 发 器, 忽 略 该 LUT 上 的 延 迟 会 影 响 到 许 多 路 径 图 4-4 : 复 位 路 径 上 的 LUT 修 改 后 的 HDL 代 码 (posedge clk or negedge rst_n) //async. negedge reset begin if (!rst_n) synchronizer_ckt <= 4 hf // 4 stage reset syncornization else synchronizer_ckt <= {synchornizer_ckt[2:0], 1 b0}; end assign synchronized_rst_n = ~synchronizer_ckt[3]; // the final reset signal which is used to reset the actual flops in the design sychronizer_ckt 已 赋 予 一 个 反 相 逻 辑, 最 终 的 synchronized_rst_n 也 加 有 另 一 个 反 相 逻 辑, 以 恢 复 极 性 这 种 对 同 步 电 路 的 小 幅 修 改 可 以 去 除 同 步 电 路 和 进 入 设 计 的 触 发 器 中 的 实 际 信 号 之 间 存 在 的 LUT, 如 图 4-5 所 示 Send Feedback 114

115 第 4 章 : 设 计 创 建 图 4-5 : 修 改 后 的 复 位 电 路 切 勿 在 同 一 进 程 或 Always 语 句 块 中 同 时 对 置 位 和 复 位 功 能 进 行 编 码 赛 灵 思 FPGA 器 件 寄 存 器 内 置 有 置 位 或 复 位 功 能, 但 在 不 支 持 同 时 使 用 因 此 在 同 时 使 用 同 步 置 位 和 复 位 功 能 时, 会 给 数 据 路 径 添 加 额 外 的 信 号, 这 样 可 能 会 影 响 面 积 和 时 序, 但 影 响 程 度 因 布 局 扇 出 和 时 间 而 已 出 于 这 个 原 因, 赛 灵 思 建 议 只 有 在 明 确 要 求 的 情 况 下, 才 能 把 置 位 和 复 位 功 能 编 写 在 同 一 个 时 序 模 块 中 鉴 于 异 步 置 位 和 复 位 给 资 源 利 率 和 时 序 造 成 的 影 响 更 为 显 著, 应 避 免 使 用 内 含 异 步 复 位 信 号 异 步 置 位 信 号 和 / 或 动 态 值 异 步 控 制 信 号 的 寄 存 器 可 在 RTL 中 描 述, 并 能 用 综 合 实 现 但 是 得 到 的 电 路, 其 消 耗 的 资 源 可 能 会 超 过 预 期, 对 时 序 和 验 证 产 生 的 影 响 也 可 能 会 超 出 原 本 的 设 想 Send Feedback 115

116 第 4 章 : 设 计 创 建 要 实 现 异 步 置 位 和 复 位 功 能, 可 创 建 下 面 的 电 路 图 4-6 : 因 同 时 创 建 置 位 和 复 位 功 能 形 成 的 额 外 逻 辑 对 锁 存 器 的 需 求 及 其 来 的 贯 穿 新 增 MUX 的 异 步 时 序, 会 给 下 游 逻 辑 造 成 时 序 问 题 时 钟 使 能 如 果 使 用 得 当, 时 钟 使 能 能 够 显 著 地 降 低 系 统 功 耗, 同 时 对 面 积 或 性 能 的 影 响 极 小 但 是 如 果 不 加 区 分 地 使 用 时 钟 使 能, 可 能 会 造 成 下 列 后 果 : 面 积 增 大 密 度 减 小 功 耗 上 升 性 能 下 降 Send Feedback 116

117 第 4 章 : 设 计 创 建 在 许 多 使 用 大 量 控 制 集 的 设 计 中, 低 扇 出 时 钟 使 能 可 能 是 导 致 控 制 集 数 量 众 多 的 主 要 原 因 创 建 时 钟 使 能 如 果 在 同 步 模 块 中 编 写 不 完 整 条 件 语 句, 就 能 创 建 时 钟 使 能 调 用 时 钟 使 能 的 目 的 是 当 先 决 条 件 无 法 满 足 时, 保 留 最 后 一 个 值 如 果 这 是 需 要 的 功 能, 用 这 种 方 式 编 码 就 是 有 效 的 但 是 在 有 些 情 况 下, 虽 然 先 决 条 件 值 未 得 到 满 足, 但 输 出 是 个 无 所 谓 的 值 此 时 赛 灵 思 建 议 用 设 定 的 常 数 ( 即 为 信 号 赋 值 1 或 0) 关 闭 该 条 件 ( 即 使 用 else 语 句 ) 在 大 多 数 实 现 方 案 中, 这 不 会 造 成 额 外 的 逻 辑, 同 时 可 避 免 使 用 时 钟 使 能 不 过 有 个 情 况 例 外, 即 对 大 型 总 线 而 言, 如 果 调 用 时 钟 使 能 信 号, 保 持 上 述 的 最 后 一 个 值, 有 助 于 降 低 功 耗 基 本 前 提 是 如 果 调 用 的 寄 存 器 数 量 较 少, 时 钟 使 能 会 存 在 较 大 弊 端, 因 为 它 会 增 加 控 制 集 的 数 量 但 是 对 较 大 型 的 群 组 而 言, 其 利 大 于 弊, 故 建 议 使 用 复 位 和 时 钟 使 能 的 先 后 在 赛 灵 思 器 件 中, 所 有 寄 存 器 的 置 位 / 复 位 功 能 的 优 先 级 均 高 于 时 钟 使 能, 不 论 是 异 步 置 位 / 复 位 还 是 同 步 置 位 / 复 位 都 是 如 此 为 取 得 最 佳 结 果, 赛 灵 思 建 议 在 同 步 模 块 中 的 if/else 结 构 中, 应 一 直 在 时 钟 使 能 ( 如 有 必 要 使 用 ) 之 前 对 置 位 / 复 位 进 行 编 码 首 先 对 时 钟 能 进 行 编 码 会 强 制 复 位 进 入 数 据 路 径, 不 论 是 在 同 步 条 件 下 还 是 在 异 步 条 件 下, 导 致 产 生 更 多 逻 辑 如 需 了 解 有 关 时 钟 的 信 息, 敬 请 参 阅 : 第 132 页 的 时 钟 表 4-3 : 控 制 集 指 南 是 关 于 设 计 中 可 接 受 的 控 制 集 数 量 的 指 南 表 4-3 : 控 制 集 指 南 条 件 一 般 可 接 受 需 要 分 析 建 议 调 整 设 计 控 制 集 数 量 <1% 总 逻 辑 门 数, 比 如 对 Kintex315 而 言 3150 个 2% 总 逻 辑 门 数 需 要 仔 细 审 核 结 果 占 总 逻 辑 门 数 的 10% 应 考 虑 减 少 控 制 集 总 数 控 制 集 使 用 的 FF 数 量 器 件 利 用 率 <75%, 控 制 集 FF 数 量 <4% 器 件 利 用 率 >85%, 控 制 集 FF 数 量 >2%. 器 件 利 用 率 >90% 控 制 集 FF 数 量 >1% Send Feedback 117

118 第 4 章 : 设 计 创 建 提 示 检 查 是 否 真 正 需 要 全 局 复 位 避 免 异 步 控 制 信 号 保 持 时 钟 使 能 和 复 位 信 号 极 性 一 致 勿 将 置 位 和 复 位 编 码 到 同 一 寄 存 器 元 件 中 如 果 确 实 需 要 异 步 复 位, 应 务 必 与 异 步 复 位 的 激 活 保 持 同 步 掌 握 调 用 的 结 果 用 户 的 代 码 最 终 要 映 射 到 器 件 资 源 上 应 努 力 掌 握 所 针 对 架 构 中 的 主 要 算 术 存 储 和 逻 辑 元 件 故 在 为 设 计 的 功 能 编 码 时, 应 提 前 预 计 可 供 代 码 映 射 的 硬 件 资 源 通 过 理 解 这 种 映 射, 可 尽 早 深 入 发 现 任 何 潜 在 的 问 题 根 据 下 面 的 例 子, 可 以 理 解 掌 握 硬 件 资 源 和 映 射 对 决 策 所 起 到 的 作 用 : 对 大 于 四 位 的 加 法 减 法 和 加 减 法, 一 般 会 使 用 进 位 链, 而 且 每 两 位 相 加 使 用 一 个 LUT( 即 8 位 与 8 位 相 加 使 用 8 个 寄 存 器 和 相 关 的 进 位 链 ) 在 三 值 相 加 时 或 把 一 个 加 法 器 的 结 果 与 另 一 个 值 在 其 间 不 使 用 寄 存 器 的 情 况 下 相 加 时, 每 三 位 相 加 使 用 一 个 LUT( 即 8 位 8 位 8 位 相 加 也 使 用 8 个 寄 存 器 和 相 关 的 进 位 链 ) 如 果 需 超 过 一 次 以 上 的 相 加, 可 以 在 每 两 级 相 加 后 设 置 寄 存 器 以 实 现 三 值 相 加, 从 而 将 器 件 的 占 用 率 减 半 一 般 乘 法 指 向 的 是 DSP 模 块 信 号 位 宽 不 足 18x25 的 映 射 到 单 个 DSP 模 块, 会 产 生 更 大 乘 积 的 乘 法 可 映 射 到 一 个 以 上 的 DSP 模 块 DSP 模 块 内 部 有 流 水 线 化 资 源 适 当 地 将 调 用 到 DSP 模 块 中 的 逻 辑 流 水 线 化, 能 够 显 著 地 改 善 性 能, 降 低 功 耗 在 描 述 乘 法 的 时 候, 围 绕 其 进 行 三 级 流 水 线 化 可 实 现 最 佳 的 设 置 时 钟 输 出 和 功 耗 特 性 太 浅 的 流 水 线 化 ( 一 级 或 ) 可 能 造 成 时 序 问 题, 增 加 这 些 模 块 的 功 耗, 因 DSP 中 流 水 线 寄 存 器 没 有 得 到 利 用 不 需 要 复 位 或 多 抽 头 点 的 移 位 寄 存 器 或 延 迟 线 一 般 映 射 到 移 位 寄 存 器 LUT 或 SRL 中 16 位 或 Send Feedback 118

119 第 4 章 : 设 计 创 建 更 浅 深 度 的 两 个 SRL 可 以 映 射 到 一 个 LUT, 高 达 32 位 的 单 个 SRL 也 可 以 映 射 到 一 个 LUT 中 如 果 要 最 大 程 度 地 利 用 SRL, 应 避 免 在 这 些 模 块 上 使 用 复 位 在 无 需 使 用 复 位 的 时 候, 器 件 利 用 率 性 能 和 功 耗 都 有 望 得 到 改 善 对 用 条 件 代 码 得 到 标 准 MUX 组 件 的 情 况 : 4 转 1MUX 可 实 现 在 单 个 LUT 中, 只 产 生 一 个 逻 辑 层 8 转 1MUX 可 实 现 在 两 个 LUT 和 一 个 MUXF7 组 件 中, 仍 然 只 产 生 一 个 逻 辑 (LUT) 层 16 转 1MUX 可 实 现 四 个 LUT 及 一 个 MUXF7 和 MUXF8 组 件 组 合 中, 还 是 只 产 生 一 个 逻 辑 (LUT) 层 把 LUT MUXF7 和 MUXF8 组 合 在 同 一 Slice 结 构 中, 产 生 的 组 合 延 迟 极 低 因 此 这 些 组 合 可 等 效 地 视 为 一 个 逻 辑 层 理 解 这 一 代 码 有 助 于 更 好 地 管 理 资 源, 也 有 助 于 更 好 地 鉴 别 和 控 制 逻 辑 层, 设 置 合 理 的 数 据 路 径 对 通 用 逻 辑 而 言, 经 验 法 则 是 考 虑 给 定 寄 存 器 具 有 唯 一 性 的 输 入 的 数 量 根 据 这 个 数 量, 就 可 以 估 计 会 达 到 的 LUT 数 量 和 逻 辑 层 数 量 一 般 来 说, 六 个 或 六 个 以 下 输 入 会 产 生 一 个 逻 辑 层 理 论 上 两 级 逻 辑 层 可 以 管 理 多 达 36 个 输 入 但 实 际 中, 用 两 级 逻 辑 层 能 管 理 的 最 大 输 入 数 量 约 为 20 个 一 般 来 说 如 果 输 入 的 数 量 越 多, 逻 辑 等 式 就 越 复 杂, 从 而 需 要 越 多 的 LUT 和 逻 辑 层 重 要 提 示 : 应 在 设 计 过 程 中 尽 早 鉴 别 件 资 源 的 可 用 性 和 高 效 使 用 硬 件 资 源 的 方 法, 与 在 设 计 后 期 相 比, 更 便 于 进 行 修 改, 也 更 能 够 实 现 理 想 的 时 序 收 敛 结 果 调 用 RAM 和 ROM 可 以 用 多 种 方 式 设 定 RAM 和 ROM 每 种 方 法 都 有 各 自 的 优 势 和 不 足 直 接 实 例 化 RAM 原 语 使 用 IP Catalog 提 供 的 内 核 调 用 Send Feedback 119

120 第 4 章 : 设 计 创 建 直 接 实 例 化 RAM 原 语 优 势 对 实 现 方 案 有 最 高 控 制 权 限 能 访 问 模 块 的 各 项 功 能 不 足 代 码 可 移 植 性 差 功 能 和 内 容 冗 长 繁 复, 难 以 理 解 使 用 IP Catalog 提 供 的 IP 核 优 势 在 使 用 多 个 组 件 时 一 般 能 提 供 更 优 化 的 结 果 设 定 和 配 置 简 单 不 足 代 码 可 移 植 性 差 需 要 管 理 内 核 调 用 优 势 高 度 可 移 植 便 于 阅 读 和 理 解 自 我 文 档 化 快 速 仿 真 不 足 不 能 访 问 全 部 可 用 的 RAM 配 置 可 能 产 生 不 够 理 想 的 结 果 由 于 调 用 一 般 能 产 生 良 好 的 结 果, 故 建 议 采 用 此 方 法, 除 非 不 支 持 给 定 的 用 途, 或 是 产 生 的 结 果 在 性 能 面 积 或 功 耗 上 不 令 人 满 意 如 果 发 生 这 种 情 况, 建 议 尝 试 其 它 方 法 Send Feedback 120

121 第 4 章 : 设 计 创 建 在 调 用 RAM 时, 赛 灵 思 强 烈 建 议 使 用 Vivado 工 具 中 提 供 的 HDL 模 板 敬 请 参 阅 : 第 103 页 的 使 用 Vivado 设 计 套 件 HDL 模 板 实 现 RAM 时 应 该 考 虑 的 性 能 因 素 要 高 效 地 调 用 存 储 器 元 件, 需 要 考 虑 下 列 影 响 性 能 的 因 素 : 使 用 专 用 模 块 还 是 分 布 式 RAM 使 用 输 出 流 水 线 寄 存 器 避 免 异 步 复 位 使 用 专 用 模 块 还 是 分 布 式 RAM RAM 可 在 :( 1) 专 用 Block RAM ; 或 者 (2) 在 LUT 中 使 用 分 布 式 RAM 来 实 现 这 两 者 之 一 的 选 择 不 仅 会 影 响 资 源 选 择, 同 时 还 会 严 重 地 影 响 性 能 和 功 耗 一 般 来 说 RAM 要 求 的 深 度 是 首 要 标 准 描 述 高 达 64 位 深 度 的 存 储 器 阵 列 一 般 实 现 在 LUTRAM 中, 其 中 深 度 不 超 过 32 位 的 映 射 为 每 LUT 两 位, 深 度 达 到 64 位 的 映 射 为 每 LUT 一 位 深 度 更 大 的 RAM 根 据 可 用 资 源 和 综 合 工 具 赋 值, 也 可 实 现 在 LUTRAM 中 深 度 超 过 256 位 的 存 储 器 阵 列 一 般 实 现 在 Block 存 储 器 中 赛 灵 思 FPGA 器 件 能 够 灵 活 地 以 多 种 宽 度 深 度 组 合 映 射 此 类 阵 列 用 户 需 要 熟 悉 这 些 配 置, 才 能 了 解 代 码 中 更 大 规 模 存 储 器 阵 列 声 明 所 使 用 的 Block RAM 的 数 量 与 结 构 重 要 提 示 : 这 些 模 块 的 编 码 方 法 如 稍 有 偏 差, 就 会 导 致 资 源 利 用 率 欠 佳 例 如 异 步 读 取 存 储 器 会 调 用 LUTRAM 而 非 Block RAM 但 是 添 加 存 储 器 复 位 功 能 会 导 致 实 现 在 一 个 寄 存 器 阵 列 中, 而 不 是 LUTRAM 中 使 用 输 出 流 水 线 寄 存 器 不 仅 要 求 高 性 能 设 计, 同 时 还 建 议 所 有 设 计, 都 应 使 用 输 出 寄 存 器 使 用 输 出 寄 存 器 可 以 优 化 Block RA 的 时 钟 到 输 出 时 序 另 外 增 加 第 二 个 输 出 寄 存 器 也 有 好 处, 因 为 与 Block RAM 寄 存 器 相 比,Slice 输 出 寄 存 器 具 有 更 快 的 时 钟 到 输 出 时 序 同 时 使 用 两 个 寄 存 器 的 总 读 取 时 延 为 3 在 调 用 这 些 寄 存 器 时, 它 们 应 以 RAM 阵 列 的 方 式 存 在 于 相 同 层 级 上 这 样 便 于 工 具 把 Block RAM 输 出 寄 存 器 合 并 成 原 语 Send Feedback 121

122 第 4 章 : 设 计 创 建 建 议 : 应 尽 早 判 断 是 否 能 够 容 许 读 取 过 程 中 发 生 额 外 一 个 时 钟 周 期 的 时 延 如 果 可 以, 为 存 储 器 阵 列 输 出 编 码 额 外 一 级 寄 存 器, 专 用 于 改 善 这 些 路 径 的 总 体 时 序 避 免 异 步 复 位 如 前 文 所 述, 使 用 异 步 复 位 会 给 RAM 调 用 造 成 不 利 影 响, 应 避 免 使 用 选 择 合 适 的 Block RAM 写 入 模 式 赛 灵 思 Block RAM 能 够 改 变 写 入 模 式 这 会 对 功 能 行 为 和 功 耗 产 生 影 响 赛 灵 思 建 议 根 据 下 列 指 南 为 特 定 操 作 选 择 最 佳 写 入 模 式 : 首 先 考 虑 功 能 使 用 NO_CHANGE 模 式 首 先 考 虑 功 能 在 选 择 写 入 模 式 时, 应 首 先 考 虑 功 能 在 写 入 到 Block RAM 的 特 定 端 口 时, 是 否 需 要 输 出 端 读 取 特 定 值 的 数 据? 如 果 在 写 入 过 程 中 必 须 看 到 Block RAM 中 之 前 的 值, 请 选 择 READ_FIRST 如 果 需 要 读 取 正 在 写 入 Block RAM 中 的 新 数 据, 请 选 择 WRITE_FIRST 如 果 不 关 心 写 入 过 程 中 的 数 据 读 取, 那 么 接 下 来 的 选 择 标 准 就 是 处 理 存 储 器 冲 突 如 果 实 现 的 是 双 端 口 存 储 器, 且 将 同 一 时 钟 连 接 到 该 Block RAM, 但 无 法 保 证 不 会 发 生 存 储 器 冲 突, 请 选 择 READ_FIRST READ_FIRST 模 式 可 确 保 在 同 一 时 钟 同 时 连 接 到 Block RAM 的 两 个 端 口 时, 不 会 发 生 存 储 器 冲 突 如 需 了 解 更 多 信 息, 敬 请 参 阅 : 7 系 列 FPGA 器 件 存 储 器 资 源 用 户 指 南 (UG473)[ 参 考 资 料 47] 使 用 NO_CHANGE 模 式 对 所 的 其 它 情 况, 赛 灵 思 建 议 使 用 NO_CHANGE 模 式 NO_CHANGE 模 式 具 有 最 佳 功 耗 特 性 如 果 在 功 能 写 入 过 程 中 读 取 ( 如 果 不 考 虑 冲 突 问 题 ), 那 么 在 NO_CHANGE 模 式 下 Block RAM 及 相 关 互 联 电 路 的 功 耗 较 低 FIFO 创 建 先 进 先 出 (FIFO) 缓 冲 器 是 FPGA 设 计 中 最 常 使 用 的 存 储 器 之 一 Send Feedback 122

123 第 4 章 : 设 计 创 建 注 意 : 异 步 先 进 先 出 (FIFO) 缓 冲 器 也 称 为 异 步 FIFO 或 多 速 率 FIFO FIFO 缓 冲 器 常 用 于 将 数 据 从 一 个 时 钟 域 传 输 到 另 一 个 时 钟 域 在 创 建 和 使 用 FIFO 时, 有 多 种 方 法 和 折 衷 可 供 选 择 为 FIFO 选 择 合 适 的 输 入 方 式 和 资 源 赛 灵 思 7 系 列 FPGA 器 件 内 含 的 Block RAM 拥 有 专 用 FIFO 电 路 一 般 情 况 下 赛 灵 思 建 议 使 用 该 专 用 FIFO 电 路, 以 实 现 最 佳 的 面 积 功 耗 性 能 和 MTBF 特 性 使 用 硬 FIFO, 无 需 考 虑 额 外 的 时 序 约 束 或 存 储 器 冲 突, 从 而 可 简 化 设 计 但 如 果 该 电 路 不 能 满 足 需 求, 可 以 通 过 创 建 软 FIFO 来 实 现 近 乎 无 限 的 行 为 和 特 性 如 果 需 要 使 用 软 FIFO, 建 议 最 好 使 用 IP Catalog 提 供 的 IP 核 来 创 建 这 样 不 仅 可 以 为 最 常 用 的 FIFO 实 现 方 案 建 立 最 合 适 的 逻 辑, 达 到 简 化 设 计 的 目 的, 还 可 以 建 立 合 适 的 时 序 约 束 和 属 性, 确 保 正 确 实 现 和 分 析 如 果 需 要 终 极 定 制, 还 可 以 采 用 调 用 用 软 实 现 方 案 实 现 异 步 FIFO 缓 冲 器 面 临 的 设 计 挑 战 如 果 使 用 软 FIFO, 需 要 考 虑 下 列 因 素 为 判 断 FIFO 状 态 并 安 全 地 传 输 数 据, 设 计 必 须 监 控 和 响 应 状 态 标 志 ( 空 和 满 信 号 ) 由 于 这 些 标 志 位 于 两 个 时 钟 域 上, 必 须 没 有 相 位 或 周 期 关 联, 难 以 随 时 确 定 标 志 的 时 序 和 可 预 测 性 为 此, 在 使 用 异 步 FIFO 时, 必 须 采 取 特 殊 的 预 防 措 施 对 大 多 数 异 步 FIFO 设 计 而 言, 标 志 有 效 和 失 效 在 本 质 上 不 具 备 周 期 确 定 性 虽 然 功 能 或 时 序 仿 真 可 以 显 示 状 态 标 志 在 一 个 时 钟 周 期 内 的 变 化 情 况, 但 在 FPGA 器 件 本 身 上, 状 态 标 志 可 能 会 在 上 一 或 下 一 周 期 中 改 变 发 生 这 种 情 况 的 原 因 可 能 是 仿 真 器 中 事 件 的 时 序 和 顺 序 与 FPGA 器 件 中 事 件 的 时 序 和 顺 序 不 一 致 FPGA 器 件 的 最 终 时 序 是 由 工 艺 电 压 和 温 度 (PVT) 决 定 的 因 此 在 不 同 芯 片 上, 或 是 同 一 芯 片 在 不 同 环 境 条 件 下 可 能 发 生 周 期 差 异 因 此 在 设 计 电 路 时 必 须 考 虑 到 这 些 差 异 如 果 不 直 接 监 控 空 和 满 标 志, 如 果 想 要 数 据 在 一 定 数 量 的 时 钟 周 期 后 有 效, 就 会 遇 到 一 系 列 问 题 在 大 多 数 FIFO 实 现 方 案 中, 即 使 有 内 存 空 间, 从 具 有 有 效 空 标 志 的 FIFO 读 取 或 是 向 带 有 有 Send Feedback 123

124 第 4 章 : 设 计 创 建 效 满 标 志 的 FIFO 写 入, 都 会 造 成 无 效 的 读 取 或 者 写 入 条 件 这 样 会 导 致 结 果 出 乎 意 料 并 造 成 严 重 的 调 试 问 题 赛 灵 思 强 烈 建 议 始 终 监 控 状 态 标 志, 无 论 异 步 FIFO 实 现 是 否 通 过 仿 真 在 大 多 数 异 步 FIFO 实 现 方 案 中, 如 果 同 时 或 近 乎 同 时 在 状 态 标 志 边 界 上 执 行 读 写 操 作, 空 标 志 和 满 标 志 会 默 认 为 安 全 条 件 即 便 FIFO 没 有 真 正 填 满, 也 会 激 活 满 标 志 即 使 FIFO 没 有 真 正 清 空, 也 会 激 活 空 标 志 这 样 可 以 提 供 少 许 安 全, 避 免 发 生 标 志 不 被 激 活 的 风 险 在 测 试 异 步 条 件 时, 可 借 助 各 种 综 合 和 仿 真 命 令, 让 异 步 FIFO 按 已 知 方 式 执 行 在 设 计 FIFO 标 志 逻 辑 时, 许 多 情 况 下 无 法 避 免 时 序 违 规 如 果 在 时 序 仿 真 过 程 中 发 生 时 序 违 规, 仿 真 器 会 产 生 已 知 的 (X) 输 出 来 说 明 未 知 状 态 出 于 这 个 原 因, 如 果 逻 辑 是 用 已 知 异 步 源 驱 动 的, 则 应 采 取 适 当 的 设 计 预 防 措 施, 以 确 保 即 便 发 生 时 序 违 规 也 能 正 常 运 行 赛 灵 思 建 议 为 相 关 的 标 志 寄 存 器 增 添 ASYNC_REG=TRUE 属 性 这 个 属 性 用 于 提 示 寄 存 器 能 够 安 全 地 接 受 异 步 输 入 此 时 寄 存 器 上 的 时 序 违 规 不 会 产 生 X 输 出, 而 是 保 持 其 先 前 的 值 这 样 也 可 以 避 免 工 具 复 制 寄 存 器 或 是 进 行 其 它 可 能 对 寄 存 器 的 运 行 造 成 不 利 影 响 的 优 化 如 果 在 写 入 某 个 存 储 器 位 置 的 同 时 读 取 这 个 位 置, 就 会 发 生 存 储 器 冲 突 通 过 有 效 地 使 用 标 志 ( 空 和 满 ), 可 以 尽 量 避 免 发 生 存 储 器 冲 突 否 则 读 取 的 数 据 可 能 出 错 如 果 在 设 计 中 已 经 采 取 有 效 措 施 防 止 读 取 因 冲 突 产 生 的 错 误 数 据, 就 可 以 使 用 RAM 模 型 的 SIM_COLLISION_CHECK 属 性 禁 用 冲 突 检 查 功 能 提 示 : - 使 用 Vivado 工 具 中 提 供 的 HDL 模 板 - 判 断 模 块 存 储 器 和 分 布 式 存 储 器 哪 一 个 更 适 合 存 储 器 功 能 - 尽 量 使 用 输 出 寄 存 器 - 避 免 在 存 储 器 结 构 周 边 使 用 异 步 复 位 - 根 据 电 路 要 求 考 虑 最 佳 写 入 模 式 - 对 FIFO 实 现, 首 先 考 虑 专 用 硬 FIFO Send Feedback 124

125 第 4 章 : 设 计 创 建 适 用 DSP 和 算 法 调 用 的 编 码 赛 灵 思 7 系 列 FPGA 中 的 DSP 模 块 能 够 执 行 多 种 不 同 的 功 能, 包 括 : 乘 法 加 法 和 减 法 比 较 器 计 数 器 普 通 逻 辑 DSP 模 块 是 一 款 具 有 多 重 寄 存 器 级 的 高 度 流 水 线 化 模 块, 能 在 降 低 DSP 模 块 的 总 体 功 耗 的 情 况 下 实 现 高 速 运 行 赛 灵 思 建 议 把 准 备 映 射 到 DSP48 中 的 代 码 完 全 流 水 线 化, 这 样 可 以 利 用 所 有 的 流 水 线 级 为 了 灵 活 使 用 DSP 模 块, 功 能 中 应 避 免 使 用 置 位 条 件, 才 能 正 确 地 映 射 到 DSP 模 块 上 赛 灵 思 7 系 列 DSP48E1 Slice 寄 存 器 只 包 含 复 位 功 能, 没 有 置 位 功 能 因 此 除 非 必 要, 因 避 免 围 绕 乘 法 器 加 法 器 计 数 器 或 其 它 可 在 DSP48E1 Slice 中 实 现 的 逻 辑 编 码 置 位 功 能 ( 在 施 加 信 号 的 情 况 下, 逻 辑 值 等 于 1) 此 外, 由 于 该 DSP Slice 只 支 持 同 步 复 位 操 作, 应 避 免 异 步 复 位 编 码 设 置 功 能 或 异 步 复 位 功 能 会 导 致 欠 佳 的 面 积 性 能 和 功 耗 许 多 DSP 设 计 都 非 常 适 合 采 用 赛 灵 思 7 系 列 架 构 要 充 分 利 用 该 架 构, 必 须 熟 悉 底 层 特 性 和 功 能, 以 便 设 计 输 入 代 码 能 够 利 用 这 些 特 性 和 功 能 DSP48E1 模 块 使 用 符 号 算 术 实 现 方 案 赛 灵 思 建 议 在 HDL 源 代 码 中 使 用 采 用 符 号 值 的 代 码, 以 最 佳 匹 配 与 资 源 功 能 并 实 现 最 有 效 的 映 射 如 果 在 代 码 中 使 用 无 符 号 的 总 线 值, 综 合 工 具 也 许 仍 然 能 够 使 用 该 资 源, 但 由 于 无 符 号 到 有 符 号 的 转 换, 可 能 无 法 实 现 该 组 件 完 整 的 位 精 度 赛 灵 思 7 系 列 DSP48E1 Slice 中 的 乘 法 器 的 输 入 位 精 度 对 有 符 号 数 据 是 18 位 x25 位 对 无 符 号 数 据 的 位 精 度 是 17 位 x24 位 对 Verilog 代 码 而 言, 除 非 在 代 码 中 声 明, 数 据 被 当 作 无 符 号 处 理 如 果 目 标 设 计 预 计 包 含 大 量 加 法 器, 赛 灵 思 建 议 对 设 计 进 行 估, 以 更 好 地 利 用 DSP48E1 Slice 的 预 加 法 器 和 后 加 法 器 例 如 在 使 用 FIR 滤 波 器 的 情 况 下, 可 以 用 加 法 器 级 联 来 构 建 脉 动 滤 波 器, 而 不 必 使 用 多 重 顺 序 加 法 功 能 ( 加 法 器 树 ) 如 果 是 对 称 滤 波 器, 可 以 评 估 使 用 专 用 预 加 法 器 进 一 步 将 该 功 能 整 合 到 数 量 更 少 的 LUT 触 发 器 和 DSP Slice 中 ( 大 多 数 情 况 下 可 减 少 一 半 的 资 源 占 用 ) Send Feedback 125

126 第 4 章 : 设 计 创 建 如 果 选 择 使 用 加 法 树, 选 择 6 输 入 LUT 架 构, 使 用 和 简 单 的 2 输 入 加 法 一 样 多 的 资 源, 就 可 以 高 效 地 完 成 三 元 加 法 (A+B+C=D) 这 样 有 助 于 节 约 和 保 存 进 位 逻 辑 资 源 不 过 在 大 多 数 情 况 下 无 需 使 用 此 类 技 巧 在 了 解 这 些 功 能 的 基 础 上, 就 可 以 提 前 进 行 适 当 的 权 衡 取 舍, 并 体 现 在 RTL 代 码 当 中, 从 而 从 一 开 始 就 实 现 更 加 顺 畅 和 更 加 高 效 的 实 现 方 案 在 大 多 数 情 况 下, 应 对 DSP 资 源 进 行 调 用 关 于 DSP48E1 特 性 和 功 能 的 更 多 信 息, 以 及 了 解 如 何 根 据 自 己 的 设 计 需 要 充 分 利 用 该 资 源, 敬 请 参 阅 : 7 系 列 DSP48E1 Slice 用 户 指 南 (UG479)[ 参 考 资 料 48] 移 位 寄 存 器 和 延 迟 线 编 码 一 般 而 言, 移 位 寄 存 器 应 具 备 以 下 部 分 或 全 部 控 制 和 数 据 信 号 特 征 : 时 钟 串 行 输 入 异 步 置 位 / 复 位 同 步 置 位 / 复 位 同 步 / 异 步 并 行 负 载 时 钟 使 能 串 行 或 并 行 输 出 赛 灵 思 FPGA 器 件 提 供 专 用 SRL16 和 SRL32 资 源 ( 集 成 在 UT 中 ) 这 样 无 需 使 用 触 发 器 资 源 即 可 高 效 实 现 移 位 寄 存 器 但 是 这 些 元 件 只 支 持 左 (LEFT) 移 位 操 作, 且 I/O 信 号 数 量 有 限 : 时 钟 时 钟 使 能 串 行 数 据 输 入 串 行 数 据 输 出 此 外,SRL 提 供 用 于 确 定 移 位 寄 存 器 长 度 的 地 址 输 入 (SRL16 的 LUT A3 A2 A1 A0 输 入 ) 移 位 寄 存 器 的 长 度 可 以 是 固 定 的 静 态 长 度, 也 可 以 动 态 调 节 Send Feedback 126

127 第 4 章 : 设 计 创 建 在 动 态 模 式 下, 每 当 有 新 的 地 址 施 加 到 地 址 引 脚, 在 访 问 LUT 造 成 的 时 延 之 后, 就 会 在 Q 输 出 上 提 供 新 的 位 位 置 值 同 步 和 异 步 置 位 / 复 位 控 制 信 号 未 在 SRL 原 语 中 提 供 为 在 使 用 SRL 时 获 得 最 佳 性 能, 赛 灵 思 建 议 把 最 后 一 级 移 位 寄 存 器 实 现 在 专 用 Slice 寄 存 器 中 Slice 寄 存 器 与 SRL 相 比, 时 钟 到 输 出 时 间 更 短 这 样 可 以 为 从 移 位 寄 存 器 逻 辑 出 发 的 路 径 提 供 更 大 的 时 序 裕 量 由 于 综 合 工 具 一 般 能 使 用 编 写 正 确 的 移 位 寄 存 器 调 用 编 码 自 动 调 用 该 寄 存 器, 除 非 该 资 源 被 实 例 化 或 综 合 工 具 被 阻 止 调 用 这 样 的 寄 存 器, 所 以 没 必 要 处 理 额 外 的 工 作 为 了 调 用 SRL, 用 户 不 应 编 码 置 位 / 复 位 功 能 赛 灵 思 建 议 采 用 Vivado 设 计 套 件 HDL 模 板 中 的 HDL 编 码 方 式 如 果 要 使 用 寄 存 器 来 达 到 灵 活 布 局 芯 片 的 目 的, 使 用 下 列 属 性 关 闭 SRL 调 用 : SHREG_EXTRACT = no 如 需 了 解 有 关 综 合 属 性 以 及 如 何 用 HDL 代 码 设 定 这 些 属 性, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 综 合 (UG901) [ 参 考 资 料 12] 初 始 化 全 部 调 用 的 寄 存 器 SRL 和 存 储 器 GSR 网 络 用 于 根 据 HDL 代 码 中 规 定 的 初 始 值 完 成 所 有 寄 存 器 的 初 始 化 如 果 没 有 设 定 初 始 值, 综 合 工 具 会 自 行 将 初 始 状 态 赋 值 为 0 或 1 除 少 数 情 况, 比 如 one-hot 状 态 机 编 码,Vivado 综 合 工 具 一 般 都 设 定 默 认 值 为 0 任 何 调 用 的 SRL 存 储 器 或 其 它 同 步 元 件 也 可 能 都 有 设 定 的 初 始 状 态, 可 在 配 置 时 编 程 到 相 关 元 件 中 赛 灵 思 强 烈 建 议 相 应 地 初 始 化 所 有 的 同 步 元 件 寄 存 器 的 初 始 化 完 全 可 使 用 各 种 主 要 的 FPGA 综 合 工 具 加 以 调 用 因 为 经 配 置 后 FPGA 中 所 有 的 同 步 元 件 都 会 从 已 知 值 启 动, 这 样 做 可 避 免 纯 粹 为 初 始 化 目 的 添 加 复 位 功 能, 让 RTL 代 码 在 功 能 仿 真 中 更 贴 近 实 现 的 设 计 寄 存 器 和 锁 存 器 初 始 状 态 VHDL 编 码 实 例 signal reg1 : std_logic := 0 ; -- specifying register1 to start as a zero ignal reg2 : std_logic := 1 ; -- specifying register2 to start as a one signal reg3 : std_logic_vector(3 downto 0):= 1011 ; -- specifying INIT value for 4-bit register Send Feedback 127

128 第 4 章 : 设 计 创 建 寄 存 器 和 锁 存 器 初 始 状 态 Verilog 编 码 实 例 一 reg register1 = 1 b0; // specifying regsiter1 to start as a zero reg register2 = 1 b1; // specifying register2 to start as a one reg [3:0] register3 = 4 b1011; //specifying INIT value for 4-bit register 寄 存 器 和 锁 存 器 初 始 状 态 Verilog 编 码 实 例 二 另 外 还 可 以 在 Verilog 中 使 用 初 始 声 明 : reg [3:0] register3; initial begin register3= 4 b1011; end 为 确 保 所 有 时 序 元 件 同 时 脱 离 复 位, 敬 请 参 阅 : 第 151 页 的 控 制 和 同 步 器 件 启 动 参 数 属 性 和 约 束 根 据 上 下 文, 应 在 参 数 属 性 或 约 束 之 间 使 用 可 互 换 的 命 名 本 部 分 内 容 将 介 绍 这 些 概 念 用 户 应 了 解 这 些 概 念, 这 样 即 便 是 另 有 文 献 资 料 使 用 某 种 其 它 命 名, 用 户 仍 能 解 读 文 献 深 层 次 表 达 的 含 义 参 数 约 束 和 属 性 参 数 参 数 ( 在 VHDL 中 为 类 属 ) 指 与 器 件 架 构 原 语 组 件 有 关, 会 对 实 例 化 后 的 组 件 的 功 能 或 设 计 造 成 影 响 的 特 性 这 些 特 性 的 传 递 方 式 对 VHDL 而 言 是 类 属 映 射, 对 Verlilog 而 言 内 联 参 数 传 递 这 些 特 性 在 VHDL 和 Verilog 中 都 称 之 为 类 属 或 参 数 注 意 : 虽 然 可 以 使 用 定 义 参 数 声 明 语 句 来 修 改 参 数, 赛 灵 思 不 建 议 采 用 这 种 操 作 方 式 参 数 实 例 包 括 : LUT6 组 件 上 的 INIT 特 性 DIVCLK_DIVIDE 上 的 MMCM 特 性 所 有 参 数 在 赛 灵 思 库 指 南 中 的 原 语 组 件 介 绍 部 分 均 有 介 绍 用 户 可 使 用 参 数 定 制 赛 灵 思 原 语 的 具 体 行 为 Send Feedback 128

129 第 4 章 : 设 计 创 建 就 原 语 而 言, 在 说 明 实 例 化 过 程 中 可 修 改 的 特 性 时, 部 分 文 献 使 用 属 性 一 词 来 代 替 本 文 中 定 义 的 参 数 或 类 属 VHDL 原 语 参 数 ( 类 属 ) 编 码 实 例 在 下 面 的 VHDL 编 码 实 例 中, 通 过 设 置 一 个 实 例 化 的 RAM32x1S 原 语 的 INIT 类 属, 将 该 RAM 符 号 的 初 始 内 容 确 定 为 十 六 进 制 值 A1B2C3D4 small_ram_inst : RAM32X1S generic map ( INIT => X A1B2C3D4 ) port map ( O => ram_out, -- RAM output A0 => addr(0), -- RAM address[0] input A1 => addr(1), -- RAM address[1] input A2 => addr(2), -- RAM address[2] input A3 => addr(3), -- RAM address[3] input A4 => addr(4), -- RAM address[4] input D => data_in, -- RAM data input WCLK => clock, -- Write clock input WE => we -- Write enable input ); Verilog 原 语 参 数 编 码 实 例 在 下 面 的 Verilog 编 码 实 例 中, 把 一 个 实 例 化 IBUFDS 符 号 中 的 DIFF_TERM 和 IOSTANDARD 分 别 设 定 为 FALSE 和 LVDS_25 IBUFDS #(.DIFF_TERM( FALSE ), // Differential Termination.IOSTANDARD( DEFAULT ) // Specify the input I/O standard ) IBUFDS_inst (.O(O), // Buffer output.i(i), // Diff_p buffer input (connect directly to top-level port).ib(ib) // Diff_n buffer input (connect directly to top-level port) ); 约 束 和 属 性 约 束 和 属 性 往 往 在 使 用 中 可 以 互 换 不 过 严 格 来 说, 属 性 是 指 在 HDL 代 码 本 身 中 提 供 的 指 令, 而 约 束 则 是 以 约 束 文 件 (XDC) 的 方 式 提 供 属 性 和 约 束 都 针 对 特 定 工 具 给 出 了 如 何 解 读 和 实 现 特 定 信 号 或 实 例 的 方 法 指 南 有 几 项 特 性 既 可 作 为 HDL 中 的 属 性 或 XDC 中 的 约 束 提 供 因 此 这 种 特 定 的 特 性 既 可 视 为 属 性, 也 可 视 为 约 束 相 应 地 就 这 几 项 特 性 而 言, 属 性 和 约 束 在 使 用 中 可 以 互 换 Send Feedback 129

130 第 4 章 : 设 计 创 建 约 束 可 分 为 三 类 : 综 合 约 束 时 序 约 束 物 理 约 束 综 合 约 束 和 属 性 综 合 约 束 的 作 用 是 针 对 特 定 设 计 或 HDL 代 码 段 引 导 综 合 工 具 的 优 化 技 巧 综 合 约 束 或 是 嵌 入 在 VHDL 或 Verilog 代 码 中 ( 也 称 为 属 性 ), 或 是 存 在 于 单 独 的 综 合 约 束 文 件 中 综 合 属 性 的 例 子 有 USE_DSP48 和 RAM_STYLE 赛 灵 思 建 议 : 把 影 响 功 能 的 指 令 以 属 性 的 形 式 嵌 入 在 HDL 代 码 中 HDL 代 码 应 一 直 随 同 相 关 属 性 把 临 时 约 束 ( 比 如 调 试 所 需 的 属 性 ) 布 置 在 单 独 的 约 束 文 件 中 这 样 无 需 修 改 实 际 的 HDL 文 件 就 可 以 方 便 地 删 除 或 添 加 约 束 综 合 属 性 约 束 和 指 令 常 嵌 入 在 早 期 实 现 方 案 或 架 构 的 代 码 或 综 合 约 束 文 件 中 赛 灵 思 建 议 把 这 些 内 容 注 释 掉 或 删 除 这 些 元 素 它 们 可 能 会 导 致 结 果 质 量 下 降, 同 时 也 可 能 并 非 是 将 来 实 现 方 案 的 最 佳 选 择 提 示 : 在 把 现 有 设 计 重 新 用 于 新 设 计 或 器 件 时, 删 除 嵌 在 现 有 设 计 代 码 或 网 表 中 的 所 有 LOC RLOC 或 BEL 约 束, 或 其 它 物 理 约 束 对 旧 架 构 而 言 理 想 的 布 局 未 必 对 新 设 计 或 架 构 也 是 理 想 的 布 局 在 某 些 情 况 下 一 定 的 约 束 ( 例 如 与 位 置 相 关 的 约 束 ) 对 新 架 构 甚 至 可 能 无 效 下 面 的 实 例 说 明 如 何 只 使 用 HDL 代 码 传 递 属 性 属 性 声 明 实 例 attribute attribute_name : attribute_type; Send Feedback 130

131 第 4 章 : 设 计 创 建 在 端 口 或 信 号 上 使 用 属 性 的 示 例 attribute attribute_name of object_name : signal is attribute_value 请 看 下 列 例 子 : library IEEE; use IEEE.std_logic_1164.all; entity d_reg is port ( CLK, DATA: in STD_LOGIC; Q: out STD_LOGIC ); attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of d_reg : entity is true ; end d_reg; 在 实 例 上 使 用 属 性 的 示 例 : attribute attribute_name of object_name : label is attribute_value 请 看 下 列 例 子 : architecture struct of spblkrams is attribute LOC: string; attribute LOC of SDRAM_CLK_IBUFG: label is AA27 ; begin -- IBUFG: Single-ended global clock input buffer -- All FPGA -- Xilinx HDL Language Template SDRAM_CLK_IBUFG : IBUFG generic map ( IOSTANDARD => DEFAULT ) port map ( O => SDRAM_CLK_o, -- Clock buffer output I => SDRAM_CLK_i -- Clock buffer input ); -- End of IBUFG_inst instantiation Send Feedback 131

132 第 4 章 : 设 计 创 建 在 组 件 上 使 用 属 性 的 示 例 : attribute attribute_name of object_name : component is attribute_value 请 看 下 列 例 子 : architecture xilinx of tenths_ex is attribute black_box : boolean; component tenths port ( CLOCK : in STD_LOGIC; CLK_EN : in STD_LOGIC; Q_OUT : out STD_LOGIC_VECTOR(9 downto 0) ); end component; attribute black_box of tenths : component is true; begin 以 往 Verilog 并 没 有 与 VHDL 属 性 相 似 的 概 念 因 此 大 多 数 工 具 针 对 Verilog 都 有 自 己 的 编 译 提 示 对 传 递 与 VHDL 相 似 的 属 性,Verilog 2001 提 供 统 一 的 语 法 由 于 属 性 声 明 紧 跟 对 象 声 明, 在 属 性 声 明 中 未 提 及 对 象 名 称 (* (attribute_name = attribute_value *) Verilog_object; 请 看 下 列 例 子 : (* (RLOC = R1C0.S0 *) FDCE #(.INIT(1 b0) // Initial value of register (1 b0 or 1 b1) ) U2 (.Q(q1), // Data output.c(clk), // Clock input.ce(ce), // Clock enable input.clr(rst), // Asynchronous clear input.d(q0) // ata input ); 时 钟 每 个 FPGA 都 为 时 钟 提 供 有 专 用 资 源 掌 握 FPGA 架 构 中 的 时 钟 资 源, 使 用 户 能 够 规 划 好 自 己 的 时 钟, 从 而 实 现 时 钟 资 源 的 最 佳 利 用 大 多 数 设 计 无 需 用 户 了 解 这 样 的 细 节 但 如 果 用 户 能 够 控 制 布 局, 同 时 对 每 个 时 钟 域 上 的 扇 出 有 良 好 的 思 路, 就 可 以 根 据 下 面 对 时 钟 详 情 研 究 出 多 种 备 选 方 案 如 果 用 户 决 定 使 用 任 何 时 钟 资 源, 就 需 要 具 体 地 实 例 化 相 应 的 时 钟 元 件 Send Feedback 132

133 第 4 章 : 设 计 创 建 本 指 南 以 Virtex -7 时 钟 源 为 例 Virtex-6 的 时 钟 资 源 与 此 类 似 如 果 用 户 使 用 的 是 其 它 某 种 架 构, 敬 请 阅 读 时 钟 资 源 文 档, 了 解 相 关 架 构 Virtex-6 和 Virtex-7 架 构 内 含 32 个 称 为 BUFG 的 全 局 时 钟 缓 冲 器 BUFG 可 满 足 设 计 的 大 部 分 时 钟 需 求, 且 对 下 列 要 求 不 高 : 时 钟 数 量 设 计 性 能 低 功 耗 需 求 其 它 时 钟 特 性, 比 如 : 时 钟 门 控 多 路 复 用 时 钟 分 频 其 它 时 钟 控 制 BUFG 可 用 综 合 调 用 得 到, 同 时 限 制 条 件 极 少, 适 用 于 大 多 数 普 通 钟 建 议 : 如 果 时 钟 需 求 超 过 BUFG 的 数 量, 或 是 需 要 更 优 异 的 总 体 时 钟 特 性, 应 根 据 可 用 时 钟 资 源 分 析 时 钟 需 求, 针 对 任 务 选 择 最 佳 源 全 局 时 钟 资 源 这 部 分 将 探 讨 下 列 全 局 时 钟 资 源 : BUFG BUFGCE BUFGMUX BUFGCTRL BUFG 全 局 时 钟 缓 冲 器 (BUFG) 元 件 一 般 供 时 钟 使 用 这 种 全 局 时 钟 缓 冲 器 带 有 附 加 功 能 这 些 附 加 功 能 可 通 过 手 动 干 预 设 计 代 码 或 综 合 加 以 利 用 Send Feedback 133

134 第 4 章 : 设 计 创 建 BUFGCE 在 使 用 BUFGCE 原 语 的 情 况 下, 无 需 其 它 逻 辑 或 资 源, 就 能 够 访 问 同 步 无 抖 动 时 钟 使 能 ( 门 控 ) 功 能 BUFGCE 可 让 时 钟 一 段 时 间 停 止, 或 用 于 创 建 更 低 Skew 更 低 功 耗 的 时 钟 分 频 信 号, 比 如 从 更 高 频 率 的 基 时 钟 创 建 ½ 或 ¼ 频 时 钟, 特 别 适 用 于 在 电 路 工 作 的 不 同 时 间 需 要 不 同 频 率 时 钟 的 情 况 BUFGMUX BUFGMUX 可 用 于 安 全 地 修 改 时 钟, 且 不 会 造 成 从 一 个 时 钟 源 到 另 一 个 时 钟 源 的 干 扰 或 其 它 时 序 风 险 BUFGMUX 可 用 于 根 据 时 间 条 件 或 工 作 条 件 需 要 使 用 两 个 截 然 不 同 时 钟 频 率 的 情 况 下 BUFGCRTL BUFGCRTL 能 够 访 问 全 局 时 钟 网 络 的 全 部 功 能, 便 于 异 步 控 制 时 钟, 以 适 应 更 加 复 杂 的 时 钟 条 件, 比 如 时 钟 丢 失 / 停 止 切 换 电 路 在 大 多 数 情 况 下, 该 组 件 必 须 在 代 码 中 实 例 化, 且 必 须 进 行 正 确 的 连 接, 才 能 获 得 所 需 的 时 钟 行 为 在 某 些 情 况 下,IP 和 综 合 可 使 用 这 些 更 高 级 的 时 钟 特 性 例 如 在 使 用 存 储 器 接 口 生 成 器 (MIG) 时, 就 可 以 把 专 用 时 钟 缓 冲 器 用 于 I/O 处 的 高 速 数 据 传 输 和 采 集 应 随 时 掌 握 具 体 IP 要 求 的 和 使 用 的 时 钟 资 源, 并 在 总 体 时 钟 架 构 构 建 和 规 划 过 程 中 就 考 虑 到 如 需 了 解 如 何 使 用 这 些 组 件 的 更 多 信 息, 敬 请 参 阅 时 钟 资 源 用 户 指 南 和 库 指 南 了 解 特 定 器 件 的 情 况 区 域 时 钟 资 源 除 全 局 时 钟 资 源 之 外, 还 有 区 域 时 钟 资 源 : 水 平 时 钟 域 缓 冲 器 (BUFH BUFHCE) 区 域 时 钟 缓 冲 器 (BUFR) I/O 时 钟 缓 冲 器 (BUFIO) 多 区 域 时 钟 缓 冲 器 (BUFMR) 水 平 时 钟 域 缓 冲 器 (BUFH BUFHCE) 水 平 时 钟 域 缓 冲 器 (BUFH BUFHCE) 既 可 单 独 使 用, 也 可 和 BUFG 配 合 使 用 使 用 这 些 缓 冲 器 可 Send Feedback 134

135 第 4 章 : 设 计 创 建 以 更 加 严 格 地 控 制 与 该 时 钟 相 连 的 相 关 逻 辑 的 时 钟 和 布 局, 同 时 为 拥 有 大 量 时 钟 域 的 设 计 提 供 更 多 时 钟 资 源 使 用 BUFH 和 BUFHCE 资 源, 设 计 可 以 利 用 连 接 到 给 定 时 钟 域 的 全 局 时 钟 网 络 (BUFG) 的 一 部 分 这 样 就 可 以 访 问 全 局 时 钟 网 络 未 使 用 部 分 的 低 Skew 资 源, 供 位 于 时 钟 域 内 部 的 较 小 时 钟 域 使 用 BUFHCE 具 有 相 同 的 无 毛 刺 时 钟 使 能 功 能, 便 于 为 特 定 时 钟 域 提 供 简 单 安 全 的 时 钟 门 控 在 由 BUFG 驱 动 的 情 况 下,BUFHCE 可 用 作 中 等 粒 度 时 钟 门 控 功 能 对 有 数 百 或 者 数 千 负 载 的 时 钟 域, 如 果 需 要 在 其 中 的 一 部 分 间 歇 性 地 启 闭 时 钟,BUFHCE 就 是 有 效 的 时 钟 资 源 BUFG 可 驱 动 同 一 或 者 不 同 时 钟 域 的 多 个 BUFH, 从 而 实 现 多 个 时 钟 可 独 立 控 制 的 低 Skew 时 域 图 4-7 : 水 平 时 钟 域 缓 冲 器 在 独 立 使 用 时, 所 有 连 接 到 BUFH 的 负 载 必 须 处 于 相 同 的 时 钟 域 中 这 样 可 使 之 适 用 于 超 高 速 更 加 精 细 粒 度 ( 更 少 负 载 数 量 ) 的 时 钟 需 求 BUFHCH 可 用 于 实 现 特 定 时 钟 域 中 的 中 等 粒 度 时 钟 门 控 用 户 必 须 确 保 由 BUFH 驱 动 的 资 源 不 会 超 出 时 钟 域 中 的 可 用 资 源, 且 不 存 在 其 它 冲 提 示 : 在 这 些 网 络 上 避 免 使 用 重 负 载, 可 以 避 免 发 生 这 一 题 BUFH 和 由 BUFG 其 它 BUFH 或 任 何 其 它 时 钟 域 驱 动 的 时 钟 域 之 间 的 相 位 关 系 可 能 不 同 唯 一 的 例 外 是 当 两 个 BUFH 驱 动 水 平 相 邻 的 时 钟 域 时 此 时 如 果 两 个 BUFH 都 由 同 一 时 钟 源 驱 动, 左 右 时 钟 域 之 间 的 Skew 应 具 有 高 度 受 控 的 相 位 关 系, 这 样 数 据 就 可 以 安 全 地 跨 越 这 两 个 BUFH 驱 动 的 时 钟 域 BUFH 还 可 用 于 访 问 对 面 区 域 中 的 MMCM 或 PLL, 用 于 时 钟 输 入 或 GT 但 使 用 这 种 方 法 时 必 须 小 心 谨 慎, 以 确 保 有 MMCM 或 PLL 可 用 Send Feedback 135

136 第 4 章 : 设 计 创 建 区 域 缓 冲 器 (BUFR) 区 域 时 钟 缓 冲 器 (BUFR) 一 般 用 作 较 低 速 的 I/O 和 架 构 时 钟, 供 采 集 和 提 供 更 高 速 的 I/O 数 据 使 用 BUFR 能 够 启 用 和 禁 用 ( 门 控 ) 时 钟, 还 能 够 完 成 某 些 常 见 的 时 钟 分 频 功 能 在 Virtex-7 器 件 中,BUFR 只 能 驱 动 其 所 在 的 时 钟 域 这 使 得 这 种 缓 冲 器 更 适 用 于 较 小 型 的 时 钟 网 络 由 于 BUFR 的 性 能 略 低 于 BUFG 和 BUFH, 赛 灵 思 不 建 议 将 其 用 于 超 高 速 时 钟 但 是 它 也 非 常 适 用 于 许 多 中 低 速 时 钟 需 求 附 加 的 内 置 时 钟 分 频 功 能 也 使 之 适 用 于 由 高 速 I/O 接 口 时 钟 等 外 部 时 钟 源 驱 动 的 分 频 时 钟 网 络 BUFR 无 需 占 用 全 局 走 线, 可 以 替 代 BUFH 的 使 用 I/O 时 钟 缓 冲 器 (BUFIO) I/O 时 钟 缓 冲 器 (BUFIO) 专 用 于 采 集 I/O 数 据 到 输 入 逻 辑, 并 在 器 件 上 为 输 出 逻 辑 提 供 输 出 时 钟 BUFIO 一 般 用 于 : 在 模 块 中 采 集 高 速 源 同 步 数 据 在 器 件 中 把 数 据 降 低 到 更 易 于 管 理 的 速 度 ( 配 合 BUFR ISERDES 或 OSERDES 逻 辑 使 用 重 要 提 示 :BUFIO 只 能 驱 动 位 于 ILogic 和 OLogic 结 构 中 的 输 入 和 输 出 组 件, 比 如 IDDR ODDR ISERDES OSERDES, 或 是 简 单 的 专 用 输 入 或 输 出 寄 器 在 使 用 BUFIO 时, 必 须 考 虑 将 数 据 从 I/O 逻 辑 可 靠 传 输 到 架 构 的 需 求, 反 之 亦 然 多 区 域 时 钟 缓 冲 器 (BUFMR) 多 区 域 时 钟 缓 冲 器 (BUFMR) 允 许 使 用 单 个 时 钟 引 脚 (MRCC) 驱 动 位 于 自 身 模 块 中 的 BUFIO 和 BUFR, 以 及 其 上 下 的 I/O 模 块 ( 如 果 存 在 ) 关 于 赛 灵 思 7 系 列 FPGA 器 件 的 时 钟 资 源 的 更 多 信 息, 敬 请 参 阅 7 系 列 时 钟 资 源 指 南 (UG472) [ 参 考 资 料 46] 关 于 SSI 器 件 的 更 多 时 钟 考 虑 总 之, 上 述 所 有 时 钟 考 虑 事 项 都 适 用 于 SSI 器 件 但 由 于 其 结 构, 在 针 对 这 些 器 件 时, 还 有 更 多 需 要 考 虑 的 因 素 如 前 一 节 提 到 的, 区 域 时 钟 可 等 同 视 之, 除 使 用 BUFMR 的 情 况 此 时 区 域 时 Send Feedback 136

137 第 4 章 : 设 计 创 建 钟 不 能 跨 越 SLR 边 界 驱 动 时 钟 资 源 与 此 对 应, 赛 灵 思 建 议 用 户 把 负 责 驱 动 BUFMR 到 模 块 或 时 钟 域 的 时 钟 布 局 在 SLR 内 部 的 中 心 时 钟 域, 以 便 访 问 SLR 左 右 两 侧 的 所 有 三 个 时 钟 域 就 全 局 时 钟 而 言, 对 需 要 的 全 局 时 钟 (BUFG) 数 量 不 超 过 16 个 的 情 况, 没 必 要 考 虑 更 多 因 素 综 合 工 具 会 自 动 分 配 BUFG, 避 免 发 生 可 能 的 冲 突 在 需 要 的 BUFG 数 量 超 过 16 个 ( 但 不 足 32 个 ) 时, 必 须 在 引 脚 选 择 和 布 局 方 面 进 一 步 考 虑, 才 能 避 免 因 全 局 时 钟 线 竞 争 和 / 或 时 钟 负 载 布 局 引 起 的 资 源 争 用 和 所 有 其 它 赛 灵 思 7 系 列 FPGA 器 件 中 一 样, 时 钟 功 能 I/O(CCIO) 及 相 关 的 时 钟 管 理 模 块 (CMT) 都 对 它 们 在 给 定 SLR 中 能 够 驱 动 的 BUFG 有 限 制 性 要 求 位 于 SLR 上 半 部 或 下 半 部 的 CCIO 只 能 驱 动 对 应 的 上 半 部 或 下 半 部 中 的 BUFG 因 此, 在 选 择 引 脚 和 相 关 的 CMT 的 时 候, 应 注 意 不 要 让 所 有 SLR 的 上 半 部 或 下 半 部 的 BUFG 超 过 16 个 为 此, 该 工 具 可 自 动 分 配 所 有 的 BUFG, 在 避 免 发 生 冲 突 的 情 况 下 把 全 部 时 钟 驱 动 到 所 有 的 SLR 对 需 要 的 全 局 时 钟 数 量 超 过 32 个 的 情 况, 赛 灵 思 建 议 尝 试 把 BUFR 和 BUFH 用 于 较 小 的 时 钟 域, 从 而 减 少 所 需 的 全 局 时 钟 域 数 量 结 合 使 用 BUFR 和 BUFMR, 可 以 驱 动 三 个 时 钟 域 内 的 资 源, 覆 盖 1.5 个 SLR( 对 于 Virtex-7 级 别 的 SLR, 大 约 是 25 万 个 逻 辑 单 元 ) 水 平 相 邻 的 时 钟 域 可 同 时 拥 有 左 右 两 侧 由 低 Skew 方 式 驱 动 的 BUFH 缓 冲 器, 实 现 规 模 相 当 于 1.3 个 SLR 的 时 钟 域 ( 大 约 16.7 万 个 逻 辑 单 元 ) 尽 量 利 用 这 些 资 源 不 仅 可 以 减 少 时 钟 资 源 冲 突 方 面 的 考 虑, 而 且 在 许 多 时 候 可 以 改 善 总 体 布 局, 从 而 改 善 性 能 和 功 耗 如 果 需 要 超 过 32 个 全 局 时 钟 来 驱 动 规 模 超 过 0.5 个 SLR 的 逻 辑 单 元 或 提 供 时 钟 信 号 给 多 个 SLR, 可 以 细 分 BUFG 全 局 时 钟 脊 (Spine) 在 SLR 边 缘 处 的 垂 直 全 局 时 钟 线 上 有 隔 离 缓 冲 器 存 在, 便 于 在 不 发 生 冲 突 的 情 况 下, 在 占 据 相 同 垂 直 全 局 时 钟 线 的 不 同 SLR 中 使 用 两 个 BUFG 利 用 此 项 功 能 需 要 更 多 用 户 控 制 和 干 预 在 下 图 中, 三 个 SLR 中 的 BUFG1 已 被 隔 离, 故 可 在 其 对 应 的 SLR 内 拥 有 独 立 时 钟 另 一 方 面 BUFG31 线 未 被 隔 离 因 此 同 一 BUFGA31( 隔 离 在 图 中 的 SLR2 中 ) 来 驱 动 所 3 个 SLR 里 的 时 钟 线, 同 时 隔 离 在 其 它 SLR 中 的 BUFG31 应 禁 用 对 BUFG 而 言, 必 须 精 心 选 择 和 手 动 布 局 (LOC) 此 外 每 个 时 钟 域 的 所 有 负 载 都 必 须 手 动 编 组 手 动 布 局 在 适 当 的 SLR 中, 以 避 免 时 钟 冲 突 如 果 所 有 的 全 局 时 钟 的 布 局 和 所 有 的 负 载 的 管 理 能 够 在 避 免 发 生 任 何 时 钟 冲 突 的 情 况 下 让 时 钟 抵 达 所 有 的 负 载, 就 能 够 使 用 数 量 多 于 32 个 的 该 全 局 时 钟 资 源 Send Feedback 137

138 第 4 章 : 设 计 创 建 图 4-8 :SSI 器 件 时 钟 线 上 的 隔 离 选 择 Send Feedback 138

139 第 4 章 : 设 计 创 建 SSI 器 件 中 的 全 局 时 钟 资 源 的 时 钟 Skew 时 钟 Skew 对 任 何 大 型 FPGA 器 件 而 言, 都 可 能 构 成 给 定 路 径 总 体 时 序 预 算 的 主 要 部 分 过 大 的 时 钟 Skew 不 仅 会 给 最 高 时 钟 速 度 造 成 问 题, 本 身 还 会 带 来 严 苛 的 保 持 时 间 要 求 在 器 件 中 植 入 多 个 晶 片 会 带 来 更 为 严 峻 的 PVT 工 艺 问 题, 但 在 赛 灵 思 组 装 工 艺 的 管 理 下, 只 有 速 度 类 似 的 晶 片 才 会 封 装 在 一 起 即 便 有 这 样 的 工 艺, 赛 灵 思 时 序 工 具 还 是 会 把 这 些 差 异 包 含 在 时 序 报 告 中 在 分 析 路 径 的 过 程 中, 这 些 方 面 会 作 为 设 置 和 保 持 计 算 的 一 部 分 加 以 分 析, 并 依 据 规 定 的 要 求, 以 路 径 延 迟 的 形 式 反 映 在 报 告 中 对 SSI 器 件 而 言, 无 需 用 户 额 外 进 行 计 算 或 考 虑, 因 为 时 序 分 析 工 具 已 在 计 算 中 考 虑 过 这 些 因 素 如 果 使 用 顶 部 或 底 部 的 SLR 进 行 延 迟 微 分 计 算,Skew 会 增 大, 而 且 各 点 之 间 距 离 越 远,Skew 越 大 因 此 赛 灵 思 建 议 对 全 局 时 钟 而 言, 中 心 SLR 中 必 须 布 局 一 个 以 上 的 SLR 这 样 能 够 在 器 件 上 实 现 更 加 均 匀 的 总 体 时 钟 网 络 分 布, 从 而 降 低 总 体 时 钟 Skew 时 钟 结 构 设 计 现 在 已 经 清 楚 地 说 明 时 钟 决 策 的 主 要 考 虑 事 项, 下 面 介 绍 如 何 设 计 提 供 需 要 的 时 钟 调 用 无 需 用 户 干 预,Vivado 综 合 工 具 就 可 以 自 动 为 所 有 时 钟 结 构 设 定 全 局 缓 冲 器 (BUFG), 直 到 架 构 允 许 的 最 大 数 量 ( 除 非 用 综 合 工 具 另 行 设 定 或 加 以 控 制 ) 如 前 文 所 述,BUFG 能 够 提 供 满 足 大 多 数 时 钟 需 求 的 受 控 良 好 的 低 Skew 网 络 除 非 器 件 上 的 BUFG 数 量 或 功 能 无 法 满 足 设 计 的 时 钟 要 求, 无 需 另 行 干 预 但 是 如 果 对 时 钟 结 构 施 加 额 外 控 制, 可 能 会 获 得 更 优 异 的 抖 动 Skew 布 局 功 耗 性 能 或 其 它 特 性 Send Feedback 139

140 第 4 章 : 设 计 创 建 综 合 约 束 和 属 性 控 制 时 钟 资 源 的 简 单 方 法 是 使 用 合 适 的 综 合 约 束 或 属 性 综 合 约 束 可 以 用 于 : 防 止 BUFG 调 用 用 替 代 性 时 钟 结 构 取 代 BUFG 设 定 某 种 以 其 它 方 式 无 法 实 现 的 时 钟 缓 冲 器 使 用 综 合 约 束, 无 需 对 代 码 进 行 任 何 修 改, 就 可 以 实 现 此 类 控 制 属 性 可 布 局 在 任 意 下 列 位 置 之 一 : 直 接 布 局 在 HDL 代 码 中, 这 样 可 以 属 性 一 直 存 在 于 代 码 中 作 为 XDC 文 件 中 的 约 束, 这 样 无 需 修 改 源 HDL 代 码 就 能 实 现 此 类 控 制 IP 的 使 用 某 些 IP 对 创 建 时 钟 结 构 有 帮 助 时 钟 向 导 (Clocking Wizard) 和 I/O 向 导 (I/O Wizard) 专 用 于 协 助 时 钟 资 源 和 结 构 的 选 择 和 创 建, 包 括 : BUFG BUFIO BUFR 时 钟 改 模 块, 如 : 混 合 模 式 时 钟 管 理 器 (MMCM) 锁 相 环 (PLL) 组 件 存 储 器 接 口 生 成 器 (MIG) PCIe 或 收 发 器 向 导 (Transceiver Wizard) 等 较 复 杂 的 IP 也 可 能 囊 括 时 钟 结 构, 当 作 总 体 IP 的 一 部 分 如 果 适 当 加 以 考 虑, 这 也 可 以 提 供 额 外 的 时 钟 资 源 但 如 果 不 加 考 虑, 可 能 会 限 制 设 计 的 其 余 部 分 的 某 些 时 钟 选 项 赛 灵 思 强 烈 建 议 对 任 何 实 例 化 的 IP, 均 应 良 好 掌 握 其 时 钟 要 求 功 能 和 资 源, 并 尽 量 在 设 计 中 的 其 余 部 分 加 以 运 用 Send Feedback 140

141 第 4 章 : 设 计 创 建 实 例 化 最 低 级 也 是 最 直 接 的 控 制 时 钟 结 构 的 方 法 是 把 所 需 的 时 钟 资 源 实 例 化 在 HDL 设 计 中 这 样 就 可 以 使 用 器 件 的 全 部 功 能 并 对 它 们 施 加 绝 对 的 控 制 在 使 用 BUFGCE BUFGMUX BUFHCE 或 其 它 需 要 额 外 逻 辑 和 控 制 的 时 钟 结 构 时, 实 例 化 一 般 是 唯 一 的 选 择 但 是 即 便 是 对 简 单 的 缓 冲 器 而 言, 有 时 候 最 迅 捷 地 取 得 所 需 结 果 的 方 法 还 是 直 截 了 当 把 它 实 例 化 在 设 计 中 一 种 有 效 管 理 时 钟 资 源 的 方 法 ( 特 别 是 在 实 例 化 时 ) 是 把 时 钟 资 源 限 定 在 实 例 化 在 代 码 顶 层 或 顶 层 附 近 的 单 独 实 体 或 模 块 中 通 过 把 时 钟 资 源 置 于 代 码 顶 层, 就 可 把 代 码 更 方 便 地 分 配 给 设 计 中 的 多 个 模 块 应 该 注 意 可 以 共 享 和 应 该 共 享 时 钟 资 源 的 地 方 创 建 冗 余 时 钟 资 源 不 仅 是 资 源 浪 费, 而 且 一 般 会 消 耗 更 多 功 耗, 带 来 更 多 潜 在 冲 突 和 布 局 决 策, 导 致 实 现 工 具 运 行 时 间 拖 长, 造 成 更 加 复 杂 的 时 序 状 况 这 也 是 为 什 么 把 时 钟 资 源 置 于 顶 层 模 块 附 近 的 又 一 重 要 因 提 示 : 可 以 使 用 Vivao HDL 模 版 实 例 化 特 定 时 钟 原 语 敬 请 参 阅 第 103 页 的 使 用 Vivado 设 计 套 件 HDL 版 控 制 时 钟 的 相 位 频 率 占 空 比 和 抖 动 本 节 将 介 绍 对 时 钟 特 性 的 一 些 精 细 粒 度 调 试 : 使 用 时 钟 修 改 模 块 (MMCM 和 PLL) 在 时 钟 上 使 用 IDELAY 控 制 相 位 使 用 门 控 时 钟 使 用 时 钟 修 改 模 块 (MMCM 和 PLL) 用 户 可 使 用 MMCM 或 PLL 修 改 输 入 时 钟 的 总 体 特 性 MMCM 最 常 用 于 消 除 时 钟 的 插 入 延 迟 ( 把 时 钟 与 输 入 系 统 同 步 数 据 在 相 位 上 对 齐 ) Send Feedback 141

142 第 4 章 : 设 计 创 建 MMCM 还 可 用 于 : 创 建 更 加 严 格 的 相 位 控 制 ; 滤 除 时 钟 中 的 抖 动 ; 修 改 时 钟 频 率 ; 校 正 或 修 改 时 钟 占 空 比, 从 而 对 设 计 的 重 要 方 面 进 行 严 格 控 制 对 调 整 和 控 制 时 钟 特 性 而 言, 使 用 MMCM 或 PLL 是 常 见 的 做 法 为 正 确 使 用 MMCM 或 PLL, 必 须 协 调 多 项 属 性, 以 确 保 MMCM 在 规 范 范 围 内 工 作, 能 够 在 输 出 端 提 供 所 需 的 时 钟 特 性 为 此 赛 灵 思 强 烈 建 议 使 用 时 钟 向 导 (Clocking Wizard) 来 正 确 配 置 这 一 资 源 MMCM 或 PLL 也 可 以 直 接 实 例 化, 以 便 更 加 严 格 地 控 制 但 应 注 意 使 用 正 确 的 设 置 如 果 MMCM 或 PLL 设 置 不 当, 可 能 会 : 造 成 抖 动 增 大, 进 而 降 低 时 钟 可 靠 性 ; 建 立 不 正 确 的 相 位 关 系 ; 提 高 时 序 难 重 要 提 示 : 在 使 用 时 钟 向 导 (Clocking Wizard) 配 置 MMCM 或 PLL 时, 在 默 认 条 件 下 时 钟 向 导 会 出 于 低 输 出 抖 动 目 的, 使 用 合 理 的 功 耗 特 性 配 置 MMM 不 过 根 据 用 户 的 目 标, 时 钟 向 导 中 的 设 置 可 修 改 为 : 进 一 步 降 低 抖 动 改 善 时 序, 但 会 增 加 功 耗, 或 进 一 步 降 低 功 耗, 但 会 增 大 输 出 抖 动 在 使 用 MMCM 或 PLL, 应 注 意 下 列 问 题 : 切 勿 让 任 何 输 入 浮 动 不 建 议 靠 综 合 工 具 或 其 它 优 化 工 具 来 锁 定 浮 动 值, 因 为 它 们 锁 定 的 值 可 能 与 所 需 的 值 有 偏 差 RST 应 与 用 户 逻 辑 相 连, 这 样 就 可 以 按 照 7 系 列 FPGA 时 钟 资 源 用 户 指 南 (UG472)[ 参 考 资 料 46] 中 介 绍 的 方 法 进 行 断 言 如 果 时 钟 被 中 断,RST 接 地 会 造 成 问 题 LOCKED 输 出 应 用 于 实 现 复 位 例 如, 由 PLL 提 供 的 时 钟 驱 动 的 同 步 逻 辑 会 保 持 在 复 位 状 态, 直 至 断 言 LOCKED 锁 定 信 号 在 用 于 设 计 同 步 部 分 之 前 需 要 同 步 Send Feedback 142

143 第 4 章 : 设 计 创 建 只 有 在 PLL/MMCM 输 出 时 钟 需 要 与 输 入 参 考 时 钟 相 位 对 齐, 才 需 要 在 反 馈 路 径 中 使 用 BUFG 确 认 CLKFBIN 和 CLKBOUT 之 间 的 接 建 议 : 探 索 时 钟 向 导 内 的 各 种 不 同 设 置, 可 确 保 根 据 总 体 设 计 目 标 创 建 最 合 适 的 置 在 时 钟 上 使 用 IDELAY 控 制 相 位 如 果 只 需 要 少 许 调 整 相 位, 可 以 使 用 IDELAY 或 ODELAY( 而 非 MMCM 或 PLL) 添 加 额 外 的 延 迟 这 样 可 以 增 大 时 钟 相 对 于 任 何 相 关 数 据 的 相 位 偏 移 使 用 门 控 时 钟 赛 灵 思 FPGA 器 件 内 置 专 用 时 钟 网 络, 可 提 供 高 扇 出 低 Skew 时 钟 结 构 如 果 在 HDL 代 码 中 隐 含 精 细 粒 度 时 钟 门 控 措 施, 会 干 扰 此 功 能 及 对 该 专 用 时 钟 网 络 的 映 射 因 此 在 直 接 针 对 FPGA 器 件 编 码 时, 赛 灵 思 不 建 议 把 时 钟 门 控 结 构 编 码 在 时 钟 路 径 上 与 此 相 反, 为 了 出 于 功 能 或 功 耗 考 虑 停 止 设 计 的 某 些 部 分, 应 使 用 通 过 编 码 调 用 时 钟 使 能 的 方 法 来 控 制 时 钟 如 果 编 码 中 已 经 含 有 时 钟 门 控 结 构, 或 是 另 有 技 术 要 求 这 样 编 码, 赛 灵 思 建 议 使 用 综 合 工 具 把 已 经 布 局 在 时 钟 路 径 上 的 门 控 重 新 映 射 为 时 钟 路 径 上 的 时 钟 使 能 这 样 做 可 以 更 理 想 地 映 射 时 钟 资 源, 简 化 与 进 / 出 门 控 时 钟 域 的 数 据 有 关 的 电 路 时 序 分 析 如 果 需 要 把 时 钟 网 络 的 较 大 部 分 关 闭 一 段 时 间, 可 以 使 用 BUFGCE BUFHCE BUFR 或 BUFMRCE 来 启 用 或 禁 用 时 钟 网 络 如 果 在 一 定 时 间 段 内 需 要 降 低 时 钟 速 度, 也 可 将 BUFGCE BUFHCE 或 BUF 与 额 外 逻 辑 配 合 使 用, 定 期 启 用 时 钟 网 络 用 户 也 可 以 使 用 BUFGMUX 把 时 钟 源 从 速 度 较 快 的 时 钟 信 号 切 换 为 速 度 较 慢 的 时 钟 信 号 这 些 技 巧 中 的 任 何 一 项 都 可 以 有 效 降 低 动 态 功 耗 但 是 根 据 要 求 和 时 钟 拓 扑, 某 种 技 巧 可 能 比 另 一 种 更 加 行 之 有 效 例 如 : BUFR 的 最 佳 使 用 方 法 是 将 其 用 作 外 部 生 成 时 钟 ( 低 于 450MHz), 只 给 不 超 过 三 个 时 钟 域 提 供 时 钟 对 Virtex-7 器 件, 如 果 要 把 门 控 时 钟 用 于 一 个 以 上 的 时 钟 域 ( 但 不 超 过 三 个 纵 向 相 邻 的 时 钟 域 ), 还 需 要 使 用 一 个 BUFMRCE Send Feedback 143

144 第 4 章 : 设 计 创 建 BUFHCE 更 适 用 于 可 限 定 在 单 个 时 钟 域 中 的 更 高 速 时 钟 虽 然 BUFGCE 可 能 会 横 穿 整 个 器 件 ( 也 是 最 灵 活 的 ), 但 对 最 大 程 度 地 降 低 功 耗 而 言 不 是 最 佳 选 择 创 建 输 出 时 钟 把 FPGA 器 件 的 时 钟 转 发 给 FPGA 外 的 时 钟 器 件 的 最 有 效 方 法, 就 是 使 用 ODDR 组 件 把 一 个 输 入 保 持 为 高 电 平, 另 一 个 保 持 为 低 电 平, 就 可 以 方 便 地 创 建 在 相 位 关 系 和 占 空 比 上 都 受 控 良 好 的 时 钟 ( 例 如 把 D1 保 持 为 0,D2 引 脚 保 持 为 1, 就 可 以 实 现 180 度 的 相 移 ) 使 用 置 位 / 复 位 和 时 钟 使 能, 还 能 控 制 时 钟 停 止 以 及 保 持 时 钟 极 性 一 段 时 间 如 果 需 要 进 一 步 控 制 外 部 时 钟 的 相 位, 可 使 用 具 有 外 部 反 馈 补 偿 和 / 或 有 粗 粒 度 或 精 细 粒 度, 固 定 或 可 变 相 位 补 偿 的 MMCM 或 PLL 这 样 就 能 够 更 加 有 力 地 控 制 相 对 于 其 它 器 件 的 时 钟 相 位 和 传 递 时 间, 简 化 器 件 提 出 的 外 部 时 序 要 求 时 钟 资 源 选 择 总 结 BUFG 在 必 须 把 高 扇 出 时 钟 提 供 贯 穿 器 件 的 多 个 时 钟 域 时 使 用 如 果 看 到 级 联 BUFG, 应 确 保 是 需 要 使 用, 是 否 是 无 意 使 用 BUFG 在 不 适 合 实 例 化 时 钟 或 不 适 合 手 动 控 制 时 钟 的 时 候 使 用 用 于 超 高 扇 出 非 时 钟 网 络, 比 如 不 存 在 混 合 极 性 的 多 个 中 低 速 时 钟 全 局 复 位 赛 灵 思 建 议 此 用 途 在 任 何 设 计 中 不 超 过 两 处 对 时 钟 必 须 跨 越 一 个 以 上 SLR 的 SSI 器 件, 将 BUFG 布 置 在 其 中 一 个 中 心 SLR 中 这 样 能 够 更 加 均 匀 在 整 个 器 件 中 分 配 时 钟 网 络, 最 大 程 度 地 降 低 Skew BUFGCE 用 于 停 止 高 扇 出 多 区 域 时 钟 域 BUFGMUX/BUFGCTRL 用 于 在 设 计 运 行 中 修 改 时 钟 频 率 或 时 钟 源 Send Feedback 144

145 第 4 章 : 设 计 创 建 BUFH 用 于 可 限 定 在 单 个 时 钟 域 中 的 较 小 时 钟 域 逻 辑 用 于 超 高 速 时 钟 域 用 于 与 BUFG 竞 用 时 钟 资 源 可 能 性 较 小 的 时 钟 域 对 SSI 器 件, 赛 灵 思 建 议 一 般 使 用 位 于 上 部 或 下 部 的 SLR, 以 减 少 与 布 局 在 中 心 SLR 中 BUFG 争 用 资 源 的 机 会 BUFHCE 用 于 可 布 局 在 单 个 时 钟 域 中 的 时 钟 网 络 的 中 等 粒 度 部 分 的 时 钟 门 控 该 BUFHCE 可 用 BUFG 驱 动 用 于 可 限 定 在 单 个 时 钟 域 中 的 高 扇 出 非 时 钟 信 号, 如 复 位 BUFR 用 于 性 能 要 求 不 高 于 450MHz 的 中 小 规 模 时 钟 网 络 用 于 可 限 定 在 最 三 个 垂 直 相 邻 时 钟 域 内 且 要 求 时 钟 分 频 的 外 部 时 钟 ; 对 SSI 器 件, 赛 灵 思 建 议 一 般 使 用 位 于 上 部 或 下 部 的 SLR, 以 减 少 与 布 局 在 中 心 SLR 中 BUFG 争 用 资 源 的 机 会 BUFIO 用 于 一 般 源 同 步 数 据 采 集 使 用 的 外 部 高 速 I/O 时 钟 BUFMR 仅 限 于 赛 灵 思 7 系 列 FPGA 器 件 : 用 于 在 使 用 单 个 时 钟 源 时, 把 BUFR 或 BUFIO 用 于 一 个 以 上 的 垂 直 相 邻 时 钟 域 的 情 况 对 SSI 器 件, 赛 灵 思 建 议 把 BUFMR 和 相 关 引 脚 布 置 在 SLR 内 的 中 心 时 钟 域 这 样 如 果 需 要, 可 以 从 该 BUFMR 访 问 全 部 三 个 时 钟 域 Send Feedback 145

146 第 4 章 : 设 计 创 建 BUFMRCE 仅 限 赛 灵 思 7 系 列 FPGA 器 件 : 用 于 在 单 个 时 钟 源 中 需 要 将 BUFR 或 BUFIO 用 于 一 个 以 上 的 垂 直 相 邻 时 钟 域, 且 该 时 钟 需 要 定 期 停 止 的 情 况 用 于 使 用 一 个 以 上 的 BUFR 且 需 要 时 钟 分 频 的 情 况 BUFMRCE 可 用 于 确 保 所 有 连 接 的 BUFR 的 正 确 相 位 启 动 PLL 和 MMCM 用 于 为 系 统 同 步 输 入 和 输 出 消 除 时 钟 插 入 延 迟 ( 把 时 钟 与 输 入 数 据 在 相 位 上 对 齐 ) 用 于 时 钟 相 位 控 制, 把 源 同 步 数 据 与 时 钟 对 齐, 以 便 正 确 进 行 时 钟 采 集 用 于 修 改 输 入 时 钟 的 时 钟 频 率 或 占 空 比 用 于 滤 除 时 钟 抖 动 PLL 能 提 供 更 理 想 的 抖 动 控 制, 而 MMCM 能 提 供 更 大 范 围 的 输 出 频 率 对 时 序 要 求 更 严 格 的 情 况,PLL 可 能 最 适 合, 因 为 PLL 能 够 准 确 提 供 所 需 的 频 率 IDELAY/IODELAY 用 于 在 输 入 时 钟 上 添 加 少 量 额 外 相 位 偏 移 ( 延 迟 ) 用 于 在 输 入 数 据 上 添 加 额 外 延 迟, 以 有 效 降 低 时 钟 相 位 相 对 于 数 据 的 偏 移 ODDR 用 于 创 来 自 器 件 的 外 部 转 发 时 钟 SSI 器 件 的 特 殊 时 钟 考 虑 因 素 除 了 本 节 前 文 提 及 的 所 有 考 虑 因 素, 在 设 计 用 于 SSI 的 时 钟 结 构 时, 还 应 该 考 虑 下 列 因 素 : 如 果 时 钟 跨 度 超 过 一 个 SLR, 把 BUFG 布 置 在 其 中 一 个 中 心 SLR 中, 以 最 大 程 度 降 低 Skew 一 般 使 用 靠 上 方 或 靠 下 方 的 SLR 中 的 BUFH 和 BUFR, 以 减 少 与 布 局 在 中 心 SLR 中 的 BUFG 发 生 资 源 争 用 的 几 率 把 BUFMR 和 相 关 引 脚 布 置 在 SLR 内 部 的 中 心 时 钟 域 内 这 种 布 局 便 于 在 需 要 的 时 候 从 BUFMR 访 问 所 有 三 个 时 钟 区 域 Send Feedback 146

147 第 4 章 : 设 计 创 建 判 断 实 例 化 或 调 用 的 时 机 赛 灵 思 建 议 使 用 RTL 描 述 设 计, 然 后 用 综 合 工 具 把 代 码 映 射 到 FPGA 器 件 中 的 可 用 资 源 上 调 用 得 到 的 逻 辑 不 仅 能 够 增 强 代 码 的 移 植 性, 还 便 于 综 合 工 具 查 看, 以 完 成 各 项 功 能 优 化 优 化 内 容 包 括 逻 辑 复 制 结 构 重 组 与 融 合, 以 及 重 新 定 时 以 均 衡 各 寄 存 器 间 的 逻 辑 延 迟 综 合 工 具 优 化 在 完 成 器 件 库 单 元 实 例 化 之 后, 在 默 认 条 件 下 综 合 工 具 不 会 对 其 优 化 即 便 是 有 指 令 要 求 优 化 器 件 的 库 单 元, 综 合 工 具 一 般 也 无 法 达 到 和 RTL 相 同 的 优 化 水 平 因 此 综 合 工 具 一 般 只 优 化 来 往 于 这 些 单 元 之 间 的 路 径, 但 不 优 化 穿 越 这 些 单 元 的 路 径 例 如, 如 果 某 个 SRL 被 实 例 化, 构 成 某 个 长 路 径 的 组 成 部 分, 该 路 径 可 能 成 为 瓶 颈 与 普 通 的 寄 存 器 相 比, 这 个 SRL 有 更 长 的 时 钟 到 输 出 延 迟 为 了 保 留 SRL 带 来 的 占 位 面 积 缩 小 优 势, 同 时 缩 短 其 时 钟 到 输 出 时 延, 需 要 创 建 时 延 小 于 实 际 允 许 时 延 的 SRL, 把 它 在 最 后 一 级 实 现 为 常 规 的 触 发 器 判 断 实 例 化 时 机 在 使 用 综 合 工 具 映 射 无 法 满 足 时 序 功 耗 或 面 积 约 束 时, 或 是 无 法 被 调 用 FPGA 器 件 中 的 特 定 功 能 时, 就 需 要 使 用 实 例 化 用 户 使 用 实 例 化 可 以 对 综 合 工 具 进 行 整 体 控 制 例 如 为 实 现 更 卓 越 的 性 能, 可 以 只 使 用 LUT 来 实 现 比 较 器, 而 不 用 综 合 工 具 一 般 选 择 的 LUT 和 进 位 链 元 素 组 合 有 时 候 实 例 化 可 能 是 唯 一 能 够 利 用 器 件 中 提 供 的 复 杂 资 源 的 途 径 原 因 可 能 是 : HDL 语 言 限 制 硬 件 复 杂 性 综 合 工 具 调 用 限 制 HDL 语 言 限 制 例 如 在 VHDL 中 无 法 描 述 双 数 据 速 率 (DDR) 输 出, 因 为 这 需 要 两 个 单 独 的 进 程 来 驱 动 相 同 的 信 号 Send Feedback 147

148 第 4 章 : 设 计 创 建 硬 件 复 杂 性 与 创 建 可 综 合 的 描 述 相 比, 实 例 化 I/O SerDes 元 件 更 为 简 单 综 合 工 具 调 用 限 制 例 如 综 合 工 具 不 能 根 据 RTL 描 述 调 用 硬 FIFO 或 DSP48 对 称 舍 入 和 饱 和 因 此 用 户 必 须 通 过 实 例 化 来 实 现 如 果 用 户 决 定 实 例 化 一 条 赛 灵 思 原 语, 敬 请 参 阅 适 用 于 目 标 架 构 的 用 户 指 南 和 库 指 南, 充 分 了 解 组 件 的 功 能 配 置 和 连 接 功 能 对 调 用 和 实 例 化, 赛 灵 思 都 建 议 用 户 使 用 Vivado 设 计 套 件 语 言 模 板 中 提 供 的 实 例 化 和 语 言 模 板 提 示 : - 尽 可 能 调 用 功 能 - 当 合 RTL 代 码 无 法 满 足 要 求 时, 如 果 要 用 器 件 库 组 件 实 例 化 来 替 代 代 码, 应 先 审 核 相 关 要 求 - 在 编 写 通 用 Verilog 和 VHDL 行 为 指 令 或 在 有 必 要 实 例 化 所 需 原 语 时, 应 考 虑 使 用 Vivado 设 计 套 件 语 言 模 板 提 高 可 靠 性 的 编 码 方 式 对 具 体 的 设 计 情 况 而 言, 需 要 具 体 的 考 量 来 提 高 可 靠 性 跨 时 钟 域 每 当 有 数 据 或 控 制 信 号 从 一 个 时 钟 域 传 输 到 另 一 个 时 钟 域, 必 须 了 解 跨 时 钟 的 性 质 跨 时 钟 可 分 类 为 : 同 步 跨 越 同 步 跨 越 指 两 个 时 钟 域 之 间 存 在 已 知 且 可 预 测 的 相 位 关 系 时 的 跨 越 异 步 跨 越 异 步 跨 越 指 相 位 关 系 不 确 定 时 的 跨 越 Send Feedback 148

149 第 4 章 : 设 计 创 建 在 异 步 跨 越 情 况 下, 有 时 候 时 钟 Skew 会 非 常 严 重 高 时 钟 Skew 的 情 况 会 更 难 以 满 足 时 序 要 求 重 要 提 示 : 如 果 Skew 指 标 有 利 于 满 足 设 置 要 求, 就 会 难 以 满 足 保 持 要 求 与 此 相 反, 如 果 Skew 指 标 有 利 于 满 足 保 持 要 求, 就 会 难 以 满 足 设 置 要 求 同 步 时 钟 域 跨 越 由 同 一 MMCM PLL 或 器 件 引 脚 从 一 个 BUFG 网 络 驱 动 到 另 一 个 BUFG 网 络, 且 两 个 BUFG 均 位 于 芯 片 的 相 同 位 置 ( 上 半 部 或 下 半 部 ) 从 一 个 BUFH 网 络 进 入 水 平 相 邻 的 另 一 个 BUFH 网 络 从 一 个 BUFR 进 入 另 一 个 由 同 一 BUFMR 驱 动 且 配 置 类 似 的 BUFR 注 意 : 如 果 BUFR 未 处 于 BYPASS 模 式 下, 必 须 通 过 同 步 所 有 相 关 BUFR 上 的 复 位 来 对 齐 相 位 由 相 同 时 钟 源 驱 动 的, 位 于 相 同 时 钟 域 的 BUFIO 和 BUFR 之 间 的 往 返 信 号 可 能 存 在 极 高 Skew 的 同 步 时 钟 域 跨 越 使 用 MMCM 或 PLL 往 返 某 时 钟 网 络, 或 是 即 便 有 相 同 源 时 钟 生 成 的 MMCM 或 PLL, 但 未 使 用 MMCM 或 PLL 往 返 某 时 钟 网 络 的 情 况 在 BUFH 和 任 何 其 它 网 络 之 间 往 返, 但 该 BUFH 网 络 与 其 它 网 络 水 平 相 邻 的 情 况 除 外 前 往 或 来 自 并 非 由 专 用 时 钟 资 源 ( 比 如 外 部 时 钟 引 脚 MMCM 或 PLL) 直 接 驱 动 的 时 钟 网 络 在 位 于 器 件 半 部 的 BUFG 和 位 于 器 件 下 半 部 的 BUFG 之 间 往 返 异 步 时 钟 域 跨 越 从 一 个 时 钟 网 络 前 往 另 一 时 钟 网 络, 且 两 种 间 不 存 在 相 位 关 系 在 由 同 一 MMCM 或 PLL 生 成 的 多 个 域 之 间 往 返, 且 彼 此 间 的 频 程 无 规 律 在 赛 灵 思 FPGA 器 件 的 GT TXCLKOUT 或 RXCLKOUT 输 出 端 与 另 一 时 钟 域 之 间 往 返 简 言 之, 同 步 域 指 时 钟 之 间 存 在 已 知 且 可 预 测 相 位 关 系 的 域 这 一 般 发 生 在 所 涉 时 钟 域 符 合 下 列 Send Feedback 149

150 第 4 章 : 设 计 创 建 情 况 时 :(1) 互 为 衍 生 物 ; 或 (2) 由 同 一 内 部 源 或 外 部 源 提 供 在 这 种 情 况 下, 时 序 可 以 分 析, 且 ( 如 果 需 要 ) 可 安 全 地 从 一 个 域 传 递 到 另 一 个 域 根 据 在 公 用 节 点 后 为 到 达 时 钟 源 端 和 时 钟 目 标 端 所 穿 越 的 时 钟 资 源 的 距 离 和 性 质, 时 钟 Skew 可 能 会 非 常 明 显, 无 法 忽 略 对 寄 存 器 到 寄 存 器 路 径 等 小 数 据 路 径, 这 种 时 钟 Skew 的 长 度 可 能 会 超 过 足 以 造 成 保 持 时 间 错 误 的 数 据 延 迟 如 果 存 在 多 个 逻 辑 层 次, 这 种 额 外 的 Skew 会 导 致 极 难 以 满 足 时 序 要 求 赛 灵 思 强 烈 建 议 用 户 严 密 监 测 这 种 跨 时 钟 域 的 逻 辑 层 次, 同 时 考 虑 逻 辑 层 次 过 少 或 过 多 所 带 来 的 影 响 对 异 步 时 钟 域 跨 越, 必 须 采 取 特 殊 措 施 来 避 免 在 这 些 路 径 上 可 能 给 数 据 完 整 性 造 成 不 利 影 响 的 不 当 总 线 捕 获 亚 稳 定 性 及 其 它 问 题 一 般 来 说 有 两 种 常 规 方 法 可 以 让 数 据 安 全 地 跨 越 异 步 时 钟 域 如 果 只 需 要 传 输 单 比 特 数 据, 或 是 使 用 格 雷 码 等 方 法 来 传 输 超 过 1 比 特 的 相 关 数 据, 可 插 入 寄 存 器 同 步 器 来 降 低 电 路 的 故 障 前 平 均 时 间 (MTBF) 对 多 比 特 数 据 ( 即 总 线 ), 一 般 建 议 的 方 法 是 使 用 独 立 时 钟 ( 异 步 )FIFO 把 数 据 安 全 地 从 一 个 域 传 输 到 另 一 个 域 如 果 用 软 逻 辑 构 建, 这 个 FIFO 可 通 过 调 用 实 现 但 是 如 果 要 使 用 专 用 的 硬 FIFO( 或 是 预 先 特 性 化 和 预 先 定 义 的 FIFO 逻 辑 能 够 简 化 工 作 ), 也 可 以 直 接 实 例 化 这 个 FIFO 还 可 以 使 用 FIFO 原 语 或 FIFO 生 成 器 来 构 建 这 个 FIFO 在 HDL 代 码 中 使 用 ASYNC_REG 属 性 可 以 识 别 所 有 的 同 步 寄 存 器 通 过 采 用 这 种 方 法,Vivado 设 计 套 件 设 计 工 具 能 够 更 好 地 了 解 并 使 用 专 用 算 法 来 改 进 综 合 仿 真 布 局 布 线, 减 少 亚 稳 态 发 生, 达 到 改 善 MTBF 的 目 的 ASYNC_REG 实 例 module synchronizer #( parameter SYNC_STAGES = 2 ) ( nput ASYNC_IN, input CLK, output SYNC_OUT ); (* (ASYNC_REG = TRUE *) reg [SYNC_STAGES-1:0] sync_regs = {SYNC_STAGES{1 b1}}; CLK) sync_regs <= {sync_regs[sync_stages-2:0], ASYNC_IN}; assign SYNC_OUT = sync_regs[sync_stages-1]; endmodule Send Feedback 150

151 第 4 章 : 设 计 创 建 提 示 : 可 以 考 虑 运 行 静 态 检 查 器, 以 识 别 跨 时 钟 域 并 确 认 适 当 的 同 步 控 制 和 同 步 器 件 启 动 FPGA 器 件 完 成 配 置 后, 器 件 需 要 经 历 一 系 列 事 件 才 能 退 出 配 置 状 态, 进 入 一 般 工 作 状 态 在 大 多 数 配 置 序 列 中, 最 后 步 骤 之 一 是 全 局 置 位 复 位 (GSR) 解 除, 然 后 是 全 局 使 能 (GWE) 信 号 解 除 这 个 步 骤 完 成 之 后 设 计 进 入 已 知 的 初 始 状 态, 然 后 释 放 进 入 工 作 状 态 如 果 上 述 释 放 点 未 同 步 到 给 定 时 钟 域, 或 如 果 时 钟 的 运 行 速 度 快 于 GWE 安 全 释 放 的 步 伐, 部 分 设 计 就 会 进 入 未 知 状 态 对 某 些 设 计 而 言, 这 无 关 紧 要 但 对 另 一 些 设 计 而 言, 这 种 情 况 会 导 致 设 计 失 去 稳 定 性 或 不 能 正 确 处 理 初 始 数 据 集 如 果 设 计 必 须 进 入 已 知 状 态, 赛 灵 思 建 议 采 取 措 施 控 制 启 动 同 步 过 程 实 现 方 法 有 几 种 一 种 方 法 是 延 迟 所 有 时 钟 直 到 GWE 有 效 后 一 段 时 间 为 此 赛 灵 思 建 议 : 使 用 实 例 化 的 BUFGCE BUFHCE 或 BUFR 组 件 使 用 这 些 组 件 的 使 能 信 号 在 配 置 后 延 迟 时 钟 一 定 数 量 时 钟 周 期 在 使 用 MMCM 时, 延 迟 输 出 时 钟, 但 勿 延 迟 反 馈 时 钟 从 时 钟 向 导 中 选 择 安 全 时 钟 启 动 (Safe Clock Startup) 选 项 即 可 图 4-9 : 时 钟 启 动 此 外, 您 还 可 以 使 用 时 钟 使 能 局 部 复 位 ( 同 步 的 ) 或 设 计 关 键 部 分 ( 如 状 态 机 ) 上 的 两 者 来 确 保 设 计 这 些 部 分 的 启 动 是 受 控 已 知 的 Send Feedback 151

152 第 4 章 : 设 计 创 建 使 用 无 时 序 约 束 复 位 复 位 ( 尤 其 是 全 局 复 位 ) 可 能 会 在 大 部 分 FPGA 阵 列 上 产 生 较 高 扇 出 在 这 些 情 况 下, 不 论 时 钟 频 率 或 时 序 要 求 如 何, 复 位 时 序 都 难 以 满 足 这 可 能 会 给 高 速 设 计 带 来 极 大 难 度 不 约 束 复 位 路 径 可 能 导 致 无 法 确 定 的 行 为, 例 如 初 始 或 间 歇 性 数 据 损 坏 整 个 设 计 锁 死 或 在 极 端 情 况 下 灾 难 性 功 能 失 常 对 大 多 数 设 计 而 言, 问 题 发 生 在 解 除 复 位 而 非 复 位 的 过 程 中 ( 虽 然 在 某 些 情 况 下 使 能 也 可 能 造 成 问 题 ) 如 果 在 时 钟 在 某 个 特 定 的 寄 存 器 上 使 能 的 同 时 解 除 复 位, 该 寄 存 器 的 输 出 可 能 无 法 确 定 ( 恢 复 / 解 除 违 规 ) 如 果 复 位 信 号 因 为 Skew, 造 成 设 计 的 一 些 部 分 从 一 个 时 钟 周 期 复 位 中 被 释 放, 而 其 它 部 分 在 另 一 个 时 钟 周 期 复 位 中 被 释 放, 会 导 致 无 法 知 晓 的 电 路 行 为 在 第 151 页 的 控 制 和 同 步 器 件 启 动 一 节 中, 介 绍 了 一 种 同 步 复 位 解 除 的 实 例 方 法 赛 灵 思 建 议 除 添 加 同 步 电 路 之 外, 您 还 应 在 复 位 路 径 上 布 置 适 当 的 时 序 约 束 同 时 在 设 计 入 口 阶 段, 应 尽 量 缩 小 时 序 收 敛 对 复 位 的 影 响 方 法 之 一 是 移 除 复 位, 或 是 复 制 驱 动 器, 以 限 制 复 位 信 号 驱 动 的 负 载 的 数 量 如 果 还 不 足 以 满 足 时 序 要 求, 您 可 在 复 位 过 程 中 使 用 BUFGCE 功 能 在 内 部 或 从 外 部 停 止 时 钟, 从 而 为 复 位 信 号 提 供 多 周 期 解 除 时 间 重 要 提 示 : 复 位 解 除 必 须 进 行 时 序 约 束, 这 样 整 个 设 计 才 能 在 相 同 的 周 期 中 同 时 复 位 避 免 使 用 组 合 环 路 在 FPGA 设 计 中 应 避 免 使 用 组 合 反 馈 路 径 在 时 序 上 造 成 的 后 果 难 以 仿 真 分 析 和 根 据 各 种 工 作 条 件 全 面 考 虑 结 果 会 难 以 预 测 如 需 了 解 关 于 如 何 使 用 check_timing 命 令 检 查 无 意 间 造 成 的 组 合 环 路, 敬 请 参 阅 第 5 章 实 现 在 非 时 钟 信 号 中 使 用 BUFG BUFG 有 时 可 用 于 非 时 钟 信 号 典 型 的 使 用 情 况 在 下 一 章 节 中 有 具 体 介 绍 第 153 页 的 改 善 性 能 的 编 码 方 式 Send Feedback 152

153 第 4 章 : 设 计 创 建 改 善 性 能 的 编 码 方 式 违 背 上 一 章 节 中 介 绍 的 编 码 方 法 ( 第 148 页 的 提 高 可 靠 性 的 编 码 方 法 ) 一 般 会 给 性 能 造 成 不 利 影 响 对 高 性 能 设 计 而 言, 本 章 节 中 讨 论 的 编 码 方 法 ( 改 善 性 能 的 编 码 方 法 ) 能 够 减 少 潜 在 的 时 序 问 题 关 键 路 径 上 的 高 扇 出 高 扇 出 网 络 宜 在 设 计 过 程 早 期 阶 段 进 行 处 理 性 能 要 求 和 路 径 的 结 构 往 往 会 导 致 高 扇 出 问 题 建 议 : 尽 早 检 查 有 大 量 负 载 的 网 络, 评 估 其 对 总 体 设 计 的 影 响 如 果 您 发 现 高 扇 出 网 络, 可 采 用 下 列 方 法 缓 解 这 个 问 题 : 精 简 负 载 到 不 需 要 高 扇 出 网 络 的 设 计 部 分 使 用 寄 存 器 复 制 精 简 负 载 到 不 需 要 高 扇 出 网 络 的 设 计 部 分 对 高 扇 出 控 制 信 号, 评 估 设 计 的 所 有 编 码 部 分 是 否 都 需 要 高 扇 出 网 络 降 低 负 载 需 求 可 以 大 幅 度 减 少 时 序 问 题 对 数 据 路 径, 判 断 是 否 可 以 通 过 限 制 逻 辑 来 减 少 扇 出 使 用 寄 存 器 复 制 寄 存 器 复 制 可 以 通 过 复 制 寄 存 器 来 加 快 关 键 路 径 的 速 度, 以 减 少 给 定 信 号 的 扇 出 这 便 于 实 现 工 具 更 加 灵 活 地 布 局 布 线 各 类 不 同 负 载 和 相 关 逻 辑 综 合 工 具 广 泛 采 用 了 这 种 方 法 如 果 根 据 时 序 报 告, 带 有 长 布 线 延 迟 的 高 扇 出 网 络 被 报 告 为 关 键 路 径, 应 考 虑 复 制 综 合 工 具 上 的 约 束 和 手 动 复 制 寄 存 器 您 往 往 必 须 添 加 额 外 的 综 合 约 束, 以 确 保 手 动 复 制 的 寄 存 器 不 会 被 综 合 工 具 优 化 掉 大 多 数 综 合 工 具 使 用 扇 出 阈 值 限 值 来 自 动 判 定 是 否 需 要 复 制 寄 存 器 对 这 个 全 局 阈 值 进 行 调 整, 就 可 以 自 动 复 制 高 扇 出 网 络, 但 无 法 提 供 更 精 细 的 用 户 控 制 水 平, 即 决 定 所 能 够 复 制 的 特 定 寄 存 器 更 好 的 方 法 是 对 特 定 寄 存 器 或 层 级 级 数 施 加 属 性, 确 定 哪 些 寄 存 Send Feedback 153

154 第 4 章 : 设 计 创 建 器 能 够 或 者 不 能 够 复 制 如 果 发 现 有 LUT1( 非 寄 存 器 ) 用 于 复 制 工 作, 就 说 明 有 属 性 或 约 束 应 用 错 误 请 勿 复 制 寄 存 器 用 于 同 步 跨 时 钟 域 的 信 号 如 果 向 这 些 寄 存 器 添 加 ASYNC_REG 属 性, 会 造 成 工 具 无 法 复 制 寄 存 器 如 果 同 步 链 有 极 高 扇 出 且 有 必 要 使 用 复 制 来 满 足 时 序 要 求, 最 后 一 个 触 发 寄 存 器 可 通 过 移 除 其 上 的 ASYNC_REG 属 性 来 完 成 复 制 不 过 这 个 寄 存 器 也 将 不 再 构 成 同 步 链 的 组 成 部 分 表 4-4 : 扇 出 指 南 是 您 设 计 中 可 接 受 的 扇 出 数 量 提 示 性 表 4-4 : 扇 出 指 南 条 件 扇 出 小 于 5000 扇 出 小 于 200 扇 出 小 于 100 低 频 同 步 逻 辑 之 间 基 本 没 有 逻 辑 级 数 1 到 125MHz 最 高 频 率 时 逻 辑 级 数 小 于 13 个 中 频 125 到 250MHz 取 决 于 结 果 可 能 需 要 减 少 扇 出 和 / 或 减 少 逻 辑 级 数 来 实 现 最 高 频 率 时 逻 辑 级 数 小 于 6 个 ( 驱 动 器 和 负 载 类 型 会 影 响 性 能 ) 高 频 大 于 250MHz 对 大 多 数 设 计 不 建 议 使 用 实 现 更 高 速 度 通 常 需 要 较 少 的 逻 辑 级 数 需 要 先 进 的 流 水 线 方 法 精 心 的 逻 辑 复 制, 紧 凑 的 功 能 较 少 逻 辑 级 数 ( 驱 动 器 和 负 载 类 型 会 影 响 性 能 ) 提 示 : 如 果 时 序 报 告 提 示 高 扇 出 信 号 将 限 制 设 计 性 能, 应 考 虑 对 其 进 行 复 制 Phys_opt_design 命 令 可 以 显 著 改 进 寄 存 器 复 制 工 作 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 5 章 实 现 章 节 第 207 页 的 MAX_FANOUT 流 水 线 考 虑 事 项 另 一 种 提 升 性 能 的 方 法 是 对 拥 有 多 个 逻 辑 级 数 的 长 数 据 路 径 进 行 重 新 组 织, 并 将 其 分 配 在 多 个 时 钟 周 期 上 这 种 方 法 以 延 迟 和 流 水 线 开 销 逻 辑 管 理 为 代 价, 来 达 到 加 快 时 钟 周 期 和 提 高 数 据 吞 吐 量 的 目 的 由 于 FPGA 器 件 带 有 大 量 的 寄 存 器, 额 外 的 寄 存 器 和 开 销 逻 辑 通 常 不 是 问 题 采 用 这 种 方 法 可 以 Send Feedback 154

155 第 4 章 : 设 计 创 建 让 数 据 路 径 跨 越 多 个 时 钟 周 期 相 应 地 必 须 对 设 计 的 其 余 部 分 进 行 特 殊 考 虑, 容 纳 这 种 增 加 的 路 径 延 迟 SSI 器 件 的 流 水 线 考 虑 事 项 在 对 需 要 跨 越 SLR 边 界 的 高 性 能 寄 存 器 间 连 接 进 行 设 计 时, 必 须 在 HDL 代 码 中 描 述 正 确 的 流 水 线, 同 时 在 综 合 中 加 以 控 制 这 样 可 以 确 保 移 位 寄 存 器 LUT(SRL) 调 用 和 其 它 优 化 工 作 不 会 发 生 在 必 须 跨 越 SLR 边 界 的 逻 辑 路 径 上 以 这 种 方 式 修 改 代 码 可 以 设 定 SLR 边 界 跨 越 发 生 的 位 置 您 必 须 根 据 这 些 设 计 变 更 设 定 SLR 赋 值 预 先 考 虑 流 水 线 预 先 而 不 是 滞 后 考 虑 流 水 线 可 以 降 低 时 序 收 敛 的 难 度 在 较 晚 阶 段 对 特 定 路 径 添 加 流 水 线 常 常 会 跨 越 电 路 传 播 延 迟 差 异 这 样 一 个 看 似 微 小 的 修 改 可 能 需 要 对 部 分 代 码 进 行 大 规 模 的 重 新 设 计 在 设 计 中 尽 早 发 现 需 要 使 用 流 水 线 的 地 方 往 往 可 以 大 幅 度 改 善 时 序 收 敛 实 现 运 行 时 间 ( 因 时 序 问 题 更 容 易 解 决 ) 和 器 件 功 耗 ( 因 逻 辑 转 换 数 量 下 降 ) 在 对 您 的 设 计 进 行 编 码 时, 应 注 意 正 在 调 用 的 逻 辑 在 想 要 添 加 流 水 线 时, 应 注 意 下 列 三 个 条 件 : 带 有 大 扇 入 的 逻 辑 锥 例 如 需 要 大 量 总 线 或 多 个 组 合 信 号 来 计 算 输 出 的 代 码 有 布 局 限 制 低 时 钟 到 输 出 速 度 或 大 量 建 立 要 求 的 模 块 例 如 没 有 输 出 寄 存 器 的 Block RAM 或 没 有 正 确 流 水 线 化 的 算 术 代 码 当 强 制 布 局 导 致 长 布 线 时 例 如 一 个 管 脚 强 制 一 条 跨 越 芯 片 的 布 线, 可 能 需 要 流 水 线 来 实 现 高 速 运 行 Send Feedback 155

156 第 4 章 : 设 计 创 建 在 图 4-10 : 使 用 流 水 线 前 的 原 理 图 中, 时 钟 速 度 受 下 列 因 素 限 制 : 源 触 发 器 的 时 钟 到 输 出 时 间 ; 贯 穿 四 个 逻 辑 级 数 的 逻 辑 延 迟 ; 四 个 函 数 发 生 器 的 相 关 布 线 ; 目 的 地 寄 存 器 的 建 立 时 间 图 4-10 : 使 用 流 水 线 前 的 原 理 图 图 4-11 : 使 用 流 水 线 后 的 原 理 图 ( 下 ) 中 的 数 据 路 径 与 图 4-10 : 添 加 流 水 线 前 的 原 理 图 ( 上 ) 中 的 一 样 由 于 触 发 器 与 函 数 发 生 器 位 于 相 同 的 Slice 中, 时 钟 速 度 受 源 触 发 器 的 时 钟 到 输 出 时 间 贯 穿 一 个 逻 辑 级 数 的 逻 辑 延 迟 一 个 布 线 延 迟 和 目 的 地 寄 存 器 的 建 立 时 间 限 制 在 这 个 例 子 中, 流 水 线 化 后 的 系 统 时 钟 的 运 行 速 度 明 显 高 于 流 水 线 化 之 前 图 4-11 : 流 水 线 化 后 的 原 理 图 提 示 : 通 过 均 衡 寄 存 器 之 间 的 逻 辑 级 数 数 量 来 改 善 设 计 性 能 管 理 宽 总 线 对 高 吞 吐 量 的 需 求 催 生 对 高 频 率 下 更 大 宽 度 总 线 功 能 的 需 求 例 如, 要 实 现 每 秒 200GB 的 吞 吐 量 数 据 传 输, 需 1024 位 宽 总 线 以 200MHz 频 率 传 输 数 据 Send Feedback 156

157 第 4 章 : 设 计 创 建 更 大 宽 度 的 功 能 和 更 大 宽 度 的 存 储 器 已 经 成 为 新 一 代 FPGA 设 计 的 主 流 赛 灵 思 在 芯 片 上 提 供 各 种 布 线 资 源 以 及 先 进 的 布 局 布 线 算 法 来 满 足 这 些 需 求 您 应 充 分 考 虑 可 用 资 源, 用 它 们 来 实 现 更 加 理 想 的 性 能 可 以 从 下 列 三 个 方 面 入 手, 使 用 合 适 的 设 计 方 法 来 改 善 设 计 : 存 储 器 组 结 构 大 宽 度 功 能 I/O 存 储 器 组 织 结 构 寄 存 器 地 址 和 存 储 器 数 据 输 出 在 RTL 编 码 时 应 按 宽 度 区 分 存 储 器, 以 实 现 高 性 能 大 宽 度 功 能 在 实 现 大 宽 度 算 术 功 能 和 缩 减 运 算 符 时, 使 用 充 足 的 流 水 线 级 数 在 使 用 SSI 目 标 和 进 行 手 动 设 计 分 区 时, 确 保 最 小 程 度 的 SLR 交 错 使 用 赛 灵 思 IP 来 实 现 大 宽 度 总 线 复 杂 算 术 运 算 用 IP 核 处 理 高 性 能 所 需 的 时 钟 再 生 和 流 水 线 要 求 I/O 使 用 赛 灵 思 串 行 IP 核 实 现 大 宽 度 总 线 高 吞 吐 量 高 可 靠 芯 片 间 数 据 传 输 适 当 分 配 同 一 组 或 相 邻 组 的 主 I/O, 最 大 限 度 降 低 传 入 或 输 出 总 线 接 口 各 数 位 之 间 的 Skew 效 应 Send Feedback 157

158 第 4 章 : 设 计 创 建 改 善 功 耗 的 编 码 方 式 改 善 功 耗 的 编 码 方 式 包 括 : 门 控 时 钟 或 数 据 路 径 使 门 控 元 件 数 量 最 大 化 使 用 专 用 时 钟 缓 冲 器 的 时 钟 使 能 端 口 关 注 控 制 集 当 不 需 要 优 先 编 码 器 时 使 用 Case 模 块 门 控 时 钟 或 数 据 路 径 对 时 钟 或 数 据 路 径 实 施 门 控 是 当 不 使 用 路 径 结 果 时 用 来 停 止 跳 变 的 常 用 技 术 门 控 时 钟 能 停 止 所 有 同 步 负 载 ; 并 防 止 数 据 路 径 信 号 开 关 和 毛 刺 继 续 传 播 该 工 具 能 分 析 描 述 内 容 和 网 表, 以 检 测 到 不 需 要 的 条 件 然 而 就 应 用 数 据 流 和 相 关 性 而 言, 有 些 内 容 该 工 具 没 有 提 供, 而 且 只 能 由 用 户 来 指 定 使 门 控 元 件 数 量 最 大 化 最 大 限 度 地 增 加 受 门 控 信 号 影 响 的 元 件 数 量 例 如, 在 驱 动 源 位 置 对 时 钟 域 进 行 门 控 比 用 时 钟 使 能 信 号 控 制 每 个 负 载 更 能 节 省 功 耗 使 用 专 用 时 钟 冲 器 的 时 钟 使 能 端 口 当 对 时 钟 实 施 门 控 或 多 路 复 用 以 最 大 限 度 降 低 活 跃 度 或 时 钟 树 使 用 量 时, 应 采 用 专 用 时 钟 缓 冲 器 的 时 钟 使 能 端 口 插 入 LUT 或 使 用 其 它 关 闭 时 钟 信 号 的 方 法 在 功 耗 和 时 序 上 效 率 不 高 关 注 控 制 集 前 面 已 经 讨 论 过, 应 该 最 大 限 度 地 减 少 控 制 集 的 数 量 赛 灵 思 建 议 只 在 门 控 时 钟 驱 动 大 量 同 步 元 件 时 才 进 行 时 钟 门 控 否 则, 有 可 能 造 成 浮 点 计 算 的 浪 费 通 过 增 加 门 控 信 号 来 停 止 数 据 或 时 钟 路 径 的 这 种 方 式 需 要 额 外 的 逻 辑 和 布 线 ( 而 且, 功 耗 也 相 应 增 加 ) 应 最 大 限 度 地 减 少 附 加 结 构 的 数 量, 以 避 免 违 背 初 衷 建 议 : 不 要 使 用 过 度 精 细 的 时 钟 门 控 每 个 门 控 时 钟 应 能 影 响 大 量 同 步 元 件 Send Feedback 158

159 第 4 章 : 设 计 创 建 当 不 需 要 优 先 编 码 器 时 使 用 Case 模 块 当 不 需 要 优 先 编 码 器 时, 应 使 用 Case 模 块, 而 不 是 如 果 - 则 - 否 则 (if-then-else) 模 块 或 三 元 运 算 符 低 效 率 编 码 实 例 if (reg1) val = reg_in1; else if (reg2) val = reg_in2; else if (reg3) val = reg_in3; else val = reg_in4; 正 确 编 码 实 例 (* parallel_case *) Casex ({reg1, reg2, reg3}) 1xx: val = reg_in1 ; 01x: val = reg_in2 ; 001: val = reg_in3 ; default: val = reg_in4 ; endcase 设 计 中 Block RAM 的 最 佳 实 践 Block RAM 消 耗 的 总 功 耗 直 接 与 启 动 时 间 成 正 比 为 了 省 功 耗, 应 在 不 使 用 Block RAM 的 时 钟 周 期 内 将 Block RAM 使 能 端 置 成 低 电 平 Block RAM 使 能 速 率 和 时 钟 速 率 都 是 功 耗 优 化 时 必 须 考 虑 的 重 要 参 数 Block RAM( 例 如 NO_CHANGE READ_FIRST 和 WRITE_FIRST) 的 模 式 设 置 在 之 前 的 选 择 正 确 的 BRAM 写 入 模 式 一 节 中 已 经 介 绍 过 更 深 和 更 宽 的 存 储 器 实 现 更 深 和 更 宽 的 存 储 器 设 计 必 须 遵 纵 向 划 分 机 制, 以 节 省 动 态 功 耗 在 IP 定 制 化 过 程 中, 如 果 您 选 择 节 能 方 案,Vivado IDE 会 创 建 纵 向 划 分 图 4-12 给 出 了 针 对 以 上 内 容 的 实 例, 采 用 的 存 储 器 配 置 为 4Kx1024 位 Send Feedback 159

160 第 4 章 : 设 计 创 建 横 向 划 分 X-Ref Target - Figure 4-12 图 4-12 : 使 用 4K x 9 实 现 的 4K x 1024 的 RTL 表 达 形 式 该 实 现 方 案 中, 所 有 block RAM 一 直 处 于 启 动 状 态 ( 对 于 每 次 读 或 写 ); 也 就 消 耗 更 多 功 耗 纵 向 划 分 X-Ref Target - Figure 4-13 图 4-13 : 使 用 2K x 18 实 现 的 4K x 1024 的 RTL 表 达 形 式 该 实 现 方 案 中, 由 于 每 次 ( 从 每 个 单 元 中 ) 只 选 择 一 个 block RAM, 因 此 动 态 功 耗 几 乎 减 半 Send Feedback 160

161 第 4 章 : 设 计 创 建 RTL DRC 一 套 RTL DRC 规 则 可 识 别 HDL 的 潜 在 编 码 问 题 这 些 DRC 检 查 可 在 Flow Navigator 中 通 过 Elaborated Design > Report DRCin 执 行, 也 可 用 Tcl 命 令 提 示 符 执 行 report_ drc -ruledeck methodology_checksat 执 行 检 查 时 可 使 用 细 化 视 图, 方 法 是 在 Flow Navigator 中 点 击 Open Elaborated Design 打 开 创 建 约 束 设 计 约 束 定 义 了 编 译 流 程 中 必 须 满 足 的 要 求, 以 使 设 计 方 案 在 硬 件 中 能 够 正 确 工 作 对 于 更 加 复 杂 的 设 计, 还 需 要 为 工 具 定 义 便 于 实 现 收 敛 的 实 施 指 南 并 非 所 有 约 束 都 要 在 编 译 流 程 中 的 所 有 步 骤 中 使 用 例 如, 物 理 约 束 只 在 实 现 阶 段 使 用 ( 即, 由 布 局 器 和 布 线 器 使 用 ) 由 于 综 合 与 实 现 算 法 是 由 时 序 驱 动, 因 此 建 立 适 当 的 时 序 约 束 至 关 重 要 对 于 您 的 设 计 实 施 过 约 束 或 欠 约 束 都 会 让 时 序 收 敛 变 得 困 难 因 此 您 必 须 使 用 与 应 用 要 求 一 致 的 合 理 约 束 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 与 收 敛 技 术 (UG906) [ 参 考 资 料 17] 访 问 Vivado 视 频 教 程 主 页 [ 参 考 资 料 23], 观 看 运 用 设 计 约 束 视 频 组 织 设 计 约 束 一 般 按 照 类 别 或 设 计 模 块 ( 或 二 者 兼 有 ) 将 约 束 组 织 到 一 个 或 多 个 文 件 中 无 论 您 如 何 组 织 约 束, 都 必 须 理 解 它 们 的 整 体 相 关 性, 并 在 载 入 内 存 时 检 查 它 们 的 最 终 顺 序 例 如, 由 于 时 序 时 钟 必 须 在 被 其 它 约 束 使 用 之 前 进 行 定 义, 因 此 必 须 确 保 将 时 序 时 钟 的 定 义 放 在 约 束 文 件 的 开 始 位 置, 或 位 于 加 载 到 内 存 的 第 一 批 约 束 文 件 中, 或 二 者 兼 有 推 荐 的 约 束 文 件 有 很 多 组 织 约 束 的 方 法 可 供 选 择, 这 要 取 决 于 工 程 的 大 小 和 复 杂 程 度 下 面 给 出 一 些 建 议 Send Feedback 161

162 第 4 章 : 设 计 创 建 简 单 设 计 小 型 设 计 团 队 开 发 的 简 单 设 计 : 1 个 文 件 存 储 所 有 约 束 1 个 文 件 存 储 物 理 约 束 + 1 个 文 件 存 储 时 序 约 束 1 个 文 件 存 储 物 理 约 束 + 1 个 文 件 存 储 时 序 ( 综 合 )+ 1 个 文 件 存 储 时 序 ( 实 现 ) 复 杂 设 计 对 于 复 杂 设 计 ( 多 个 IP 核 或 多 个 设 计 团 队 ): 1 个 文 件 存 储 顶 层 时 序 + 1 个 文 件 存 储 顶 层 物 理 + 1 个 文 件 对 应 1 个 IP 或 主 模 验 证 读 取 顺 序 一 旦 完 成 工 程 约 束 文 件 的 组 织 工 作, 就 必 须 根 据 文 件 内 容 验 证 文 件 的 读 取 顺 序 在 工 程 模 式 下, 您 可 以 在 Vivado IDE 中 或 使 用 reorder_files Tcl 命 令 修 改 约 束 文 件 顺 序 在 非 工 程 模 式 下, 该 顺 序 可 直 接 由 编 译 流 程 Tcl 脚 本 中 的 read_xdc ( 针 对 XDC 文 件 ) 和 source ( 针 对 Tcl 脚 本 生 成 的 约 束 ) 命 令 来 定 义 推 荐 的 约 束 顺 序 约 束 语 言 (XDC) 基 于 Tcl 语 法 和 解 读 规 则 与 Tcl 一 样,XDC 属 于 顺 序 语 言 : 必 须 在 使 用 前, 首 先 定 义 变 量 类 似 地, 也 要 在 其 能 用 于 其 它 约 束 前 首 先 定 义 时 序 时 钟 对 于 覆 盖 相 同 路 径 并 具 有 相 同 优 先 级 的 等 价 约 束, 应 使 用 最 后 一 个 Send Feedback 162

163 第 4 章 : 设 计 创 建 当 考 虑 以 上 优 先 原 则 时, 时 序 约 束 应 依 照 以 下 顺 序 : ## 时 序 使 用 阶 段 # 主 时 钟 # 虚 拟 时 钟 # 衍 生 时 钟 # 时 钟 不 确 定 性 和 抖 动 # 输 入 和 输 出 延 迟 约 束 # 时 钟 组 ## 时 序 例 外 阶 段 # 错 误 路 径 # 最 大 延 迟 / 最 小 延 迟 # 多 周 期 路 径 # Case 分 析 # 不 分 析 时 序 当 使 用 多 个 XDC 文 件 时, 尤 其 要 注 意 时 钟 定 义 问 题, 并 验 证 相 关 性 排 序 是 正 确 的 物 理 约 束 可 以 位 于 任 意 约 束 文 件 的 任 意 位 置 创 建 综 合 约 束 综 合 步 骤 收 到 设 计 的 RTL 描 述, 并 利 用 时 序 驱 动 的 算 法 将 其 转 变 成 最 优 技 术 映 射 网 表 结 的 质 量 受 RTL 代 码 质 量 和 约 束 的 影 响 在 编 译 流 程 的 这 个 阶 段, 网 络 延 迟 模 型 是 近 似 的, 且 无 法 反 应 布 局 约 束 或 复 杂 影 响 ( 例 如 拥 塞 ) 这 里 的 主 要 目 的 是 获 得 具 有 真 实 和 简 单 约 束 且 满 足 时 序 要 求 或 差 一 点 满 足 时 序 要 求 的 网 表 综 合 引 擎 接 受 所 有 XDC 命 令, 但 只 有 一 部 分 真 正 有 效 果 : 与 建 立 / 恢 复 分 析 有 关 的 时 序 约 束 影 响 QoR : create_clock create_generated_clock set_input_delay and set_output_delay set_clock_groups set_false_path set_max_delay set_multicycle_path Send Feedback 163

164 第 4 章 : 设 计 创 建 与 保 持 和 移 除 分 析 有 关 的 时 序 约 束 在 综 合 步 骤 中 被 忽 略 : set_false_path -hold set_min_delay set_multicycle_path hold RTL 属 性 强 制 采 用 映 射 和 优 化 算 法 来 制 定 决 策 以 下 提 供 一 些 实 例 : DONT_TOUCH / KEEP / KEEP_HIERARCHY MAX_FANOUT RAM_STYLE / ROM_STYLE / USE_DSP48 / SHREG_EXTRACT FULL_CASE / PARALLEL_CASE ( 仅 限 Verilog RTL ) 相 同 的 属 性 还 可 被 设 置 为 XDC 文 件 的 特 性 使 用 基 于 XDC 的 约 束 便 于 仅 在 特 定 情 况 且 不 改 变 RTL 的 前 提 下 影 响 综 合 结 果 物 理 约 束 被 忽 略 (LOC, BEL, Pblocks) 综 合 约 束 使 用 的 名 称 必 须 来 自 细 化 的 网 表 更 好 的 端 口 和 连 续 的 单 元 一 些 RTL 信 号 会 在 细 化 过 程 中 消 失, 因 此 无 法 为 它 们 赋 予 XDC 约 束 此 外, 由 于 细 化 后 有 多 种 不 同 优 化, 因 此 网 络 或 逻 辑 单 元 会 并 入 不 同 原 语, 例 如 LUT 或 DSP 模 块 如 需 了 解 设 计 对 象 细 化 的 名 称, 请 参 见 第 203 页 的 采 用 细 化 设 计 有 些 寄 存 器 被 吸 收 到 RAM 模 块 中, 而 且 有 些 层 级 级 数 会 失 以 实 现 夸 边 界 优 化 任 何 细 化 的 网 表 对 象 或 层 级 级 数 都 可 利 用 DONT_TOUCH KEEP 或 KEEP_HIERARCHY 约 束 进 行 保 存, 但 存 在 降 低 时 序 或 面 积 QoR 的 风 险 最 后, 有 些 约 束 存 在 冲 突 或 不 被 综 合 认 可 例 如, 如 果 在 跨 越 多 个 层 级 级 数 的 网 络 上 对 MAX_ FANOUT 属 性 进 行 设 置, 而 且 有 些 层 级 通 过 DONT_TOUCH 进 行 保 存, 那 么 扇 出 优 化 将 受 到 限 制 或 被 完 全 阻 止 重 要 提 示 : 与 实 现 阶 段 不 同, 综 合 阶 段 可 对 用 于 定 义 时 序 约 束 的 网 表 对 象 实 行 优 化, 以 获 得 更 好 的 QoR 只 要 约 束 针 对 实 现 进 行 了 更 新 和 验 证, 这 通 常 不 会 有 问 题 如 果 需 要, 还 可 以 使 用 DONT_TOUCH 约 束 保 存 任 意 对 象, 以 便 在 综 合 与 实 现 阶 段 均 可 应 用 约 束 Send Feedback 164

165 第 4 章 : 设 计 创 建 一 旦 综 合 完 成 后, 赛 灵 思 建 议 您 检 查 时 序 和 使 用 报 告, 以 验 证 网 表 质 量 满 足 应 用 要 求 并 可 用 于 实 现 阶 段 创 建 实 现 约 束 实 现 约 束 必 须 精 确 反 应 最 终 应 用 的 要 求 物 理 约 束 ( 例 如 I/O 位 置 和 I/O 标 准 ) 决 定 于 电 路 板 设 计, 包 括 电 路 板 走 线 延 迟, 及 源 自 总 体 系 统 要 求 的 散 热 管 理 要 求 在 进 入 实 现 步 骤 之 前, 赛 灵 思 强 烈 建 议 您 对 所 有 约 束 的 正 确 性 和 准 确 性 进 行 验 证 错 误 约 束 可 能 会 降 低 实 现 的 QoR 以 及 对 于 时 序 验 收 质 量 的 信 心 多 数 情 况 下, 在 综 合 与 实 现 阶 段 可 以 使 用 相 同 的 约 束 但 是, 由 于 设 计 对 象 在 综 合 阶 段 可 能 消 失 或 发 生 名 称 变 化, 因 此 必 须 核 实 所 有 综 合 约 束 可 在 实 现 网 表 中 正 确 使 用 如 果 不 是 这 样, 您 必 须 建 立 一 个 附 加 XDC 文 件, 用 以 包 含 仅 在 实 现 阶 段 有 效 的 约 束 分 四 个 步 骤 定 义 时 序 约 束 好 的 约 束 定 义 过 程 分 为 四 个 主 要 步 骤, 如 图 4-14 : 时 序 约 束 设 计 步 骤 所 示 这 些 步 骤 遵 循 时 序 约 束 的 优 先 和 从 属 规 则, 并 以 合 乎 逻 辑 的 方 式 向 时 序 引 擎 提 供 信 息 以 执 行 分 析 功 能 图 4-14 : 时 序 约 束 设 计 步 骤 Send Feedback 165

166 第 4 章 : 设 计 创 建 前 两 个 步 骤 称 为 时 序 使 用 阶 段, 用 来 从 时 钟 波 形 和 I/O 延 迟 约 束 中 调 用 出 默 认 时 序 路 径 要 求 在 第 三 个 步 骤 中, 对 至 少 共 享 一 个 逻 辑 路 径 的 异 步 或 专 用 时 钟 域 之 间 的 关 系 进 行 审 核 根 据 关 系 的 特 性, 可 输 入 时 钟 组 或 伪 路 径 约 束 以 忽 略 这 些 路 径 上 的 时 序 分 析 最 后 一 个 步 骤 相 当 于 时 序 异 常 处 理, 设 计 人 员 可 以 利 用 特 定 约 束 来 忽 略 减 轻 或 加 强 默 认 的 时 序 路 径 要 求 约 束 创 建 与 约 束 识 别 和 约 束 验 证 任 相 关 联, 这 些 任 务 必 须 通 过 时 序 引 擎 生 成 的 各 种 报 告 才 能 实 现 时 序 引 擎 仅 能 使 用 经 过 完 全 映 射 的 网 表, 例 如 综 合 之 后 的 网 表 尽 管 可 以 用 细 化 的 网 表 输 入 约 束, 但 还 是 建 议 使 用 综 合 后 网 表 创 建 第 一 个 约 束 集, 以 便 约 束 的 分 析 和 报 告 可 以 交 互 执 行 下 面 几 节 详 细 介 绍 以 上 的 四 个 步 骤 : 定 义 时 钟 约 束 输 入 和 输 出 端 口 定 义 时 钟 组 和 CDC 约 束 设 定 例 外 处 理 在 约 束 创 建 过 程 的 相 应 步 骤 可 参 考 相 应 章 节 以 获 得 详 细 的 方 法 以 及 使 用 案 例 定 义 时 钟 必 须 首 先 定 义 时 钟, 以 便 为 其 它 约 束 所 用 时 序 约 束 创 建 流 程 的 第 一 步 是 确 定 必 须 在 哪 里 定 义 时 钟 以 及 应 该 定 义 为 主 时 钟 还 是 生 成 时 钟 识 别 时 钟 源 用 下 面 的 两 个 报 告 识 别 设 计 中 未 约 束 的 时 钟 根 : 时 钟 网 络 报 告 检 查 时 序 报 告 Send Feedback 166

167 第 4 章 : 设 计 创 建 时 钟 网 络 报 告 约 束 和 未 约 束 的 时 钟 源 在 两 个 不 同 类 别 中 列 出 对 于 每 个 未 约 束 的 时 钟 源, 必 须 识 别 应 该 定 义 为 主 时 钟 还 是 生 成 时 钟 % report_clock_networks Unconstrained Clock Networks: Unconstrained Clock Source: start Port: sysclk Unconstrained Clock Source: start Pin: gt0/txoutclk Unconstrained Clock Source: start Pin: usbclkdiv2_reg/q 检 查 时 序 报 告 no_clock 检 查 可 报 告 没 有 时 钟 定 义 的 生 效 元 件 节 点 时 钟 引 脚 组 每 个 组 关 联 一 个 时 钟 源 点, 而 且 必 须 在 该 点 定 义 一 个 时 钟 以 清 除 此 问 题 % check_timing -override_defaults no_clock 1. checking no_clock There are 3367 register/latch pins with no clock driven by: clkgen/clkout1_buf/o possible clock pin by: sysclk There are 150 register/latch pins with no clock driven by: txoutclk_bufg_i/o possible clock pin by: gt0/txoutclk There are 8 register/latch pins with no clock driven by: usbclkdiv2_reg/q possible clock pin by: usbclkdiv2_reg/q 利 用 check_timing, 可 以 使 相 同 时 钟 源 引 脚 或 端 口 出 现 在 若 干 个 组 中, 具 体 数 量 取 决 于 整 个 时 钟 树 的 拓 扑 结 构 这 种 情 况 下, 在 选 定 时 钟 源 引 脚 或 端 口 建 立 一 个 时 钟 就 可 以 解 决 所 有 相 关 组 中 遗 漏 时 钟 定 义 的 问 题 创 建 主 时 钟 主 时 钟 是 指 用 于 为 设 计 定 义 时 序 参 考 的 时 钟 而 时 序 引 擎 可 利 用 主 时 钟 获 取 时 序 路 径 要 求 以 及 与 其 它 时 钟 的 相 位 关 系 计 算 主 时 钟 插 入 延 迟 时 应 从 时 钟 源 点 ( 定 义 主 时 钟 的 驱 动 器 引 脚 / 端 口 位 Send Feedback 167

168 第 4 章 : 设 计 创 建 置 ) 开 始, 一 直 到 时 钟 扇 出 所 至 时 序 单 元 的 时 钟 引 脚 于 这 个 原 因, 定 义 主 时 钟 时 很 重 要 的 一 点 是 要 将 主 时 钟 定 义 在 与 设 计 边 界 相 对 应 的 对 象 上, 这 样 主 时 钟 的 延 迟 以 及 间 接 条 件 下 的 Skew, 都 可 以 得 到 精 确 计 算 典 型 的 主 时 钟 根 包 括 : 输 入 端 口 GT 收 发 器 输 出 引 脚 某 些 硬 件 原 语 输 出 引 脚 输 入 端 口 图 4-15 : 用 于 输 入 端 口 的 create_clock 约 束 实 例 : create_clock -name SysClk -period 10 -waveform {0 5} [get_ports sysclk] 该 实 例 中, 波 形 占 空 比 设 定 为 50% 上 面 给 出 了 变 量 -waveform 的 用 法, 并 且 只 有 在 定 义 占 空 比 不 是 50% 的 时 钟 时 才 有 必 要 使 用 该 变 量 对 于 差 分 时 钟 输 入 缓 冲 器, 只 需 在 差 分 对 的 P 侧 对 主 时 钟 进 行 定 义 Send Feedback 168

169 第 4 章 : 设 计 创 建 GT 收 发 器 输 出 引 脚 GT 收 发 器 输 入 引 脚, 例 如 已 恢 复 的 时 钟, 或 : X-Ref Target - Figure 4-16 图 4-16 : 原 语 引 脚 上 的 create_clock 约 束 实 例 : create_clock -name txclk -period [get_pin gt0/txoutclk] 某 些 硬 件 原 语 输 出 引 脚 某 些 硬 件 原 语 ( 例 如 BSCANE2) 的 输 出 引 脚 不 含 连 接 同 一 原 语 输 入 引 脚 的 时 序 弧 图 4-17 : 时 钟 路 径 因 缺 失 时 序 弧 而 断 开 重 要 提 示 : 在 主 时 钟 传 递 扇 出 中 不 应 定 义 另 外 一 个 主 时 钟, 因 为 这 种 情 况 不 符 合 任 何 硬 件 现 实, 还 会 妨 碍 完 整 的 时 钟 插 入 延 迟 计 算, 从 而 阻 碍 正 确 的 时 序 分 析 如 果 发 生 这 种 情 况, 必 须 重 新 修 改 并 修 正 约 束 图 4-18 : 不 建 议 在 另 一 个 时 钟 的 扇 出 中 使 用 create_clock : 给 出 了 一 个 实 例, 其 中 时 钟 clk1 在 时 钟 clk0 的 传 递 扇 出 中 定 义 ;clk1 从 其 定 义 位 置 BUFG1 的 输 出 开 始 覆 盖 clk0 因 此,REGA 与 REGB Send Feedback 169

170 第 4 章 : 设 计 创 建 之 间 的 时 序 分 析 就 不 会 准 确, 因 为 clk0 和 clk1 之 间 存 在 无 效 的 Skew 计 算 图 4-18 : 不 建 议 在 另 一 个 时 钟 的 扇 出 中 使 用 create_clock 创 建 生 成 时 钟 生 成 时 钟 源 自 另 一 个 现 有 时 钟 ( 主 时 钟 ) 通 常 用 来 描 述 由 逻 辑 模 块 在 主 时 钟 上 执 行 的 波 形 变 换 由 于 生 成 时 钟 的 定 义 取 决 于 主 时 钟 特 性, 因 此 必 须 首 先 定 义 主 时 钟 要 明 确 定 义 生 成 时 钟, 必 须 使 用 create_generated_clock 命 令 自 动 衍 生 时 钟 大 部 分 生 成 时 钟 都 由 Vivado 设 计 套 件 时 序 引 擎 自 动 衍 生 获 得, 该 引 擎 可 识 别 时 钟 修 改 模 块 (CMB) 及 其 对 主 时 钟 所 执 行 的 变 换 赛 灵 思 7 系 列 器 件 中,CMB 是 : MMCM*/ PLL* BUFR PHASER* 对 于 时 钟 树 上 任 何 其 它 组 合 单 元 而 言, 时 序 时 钟 可 通 过 它 们 进 行 传 播, 且 无 需 在 输 出 端 重 新 定 义, 除 非 波 形 已 被 相 应 单 元 转 换 通 常 应 该 尽 可 能 多 地 依 靠 自 动 衍 生 机 制, 因 为 就 定 义 可 对 应 于 实 际 硬 件 行 为 的 生 成 时 钟 来 说, 这 是 最 安 全 的 方 法 如 果 Vivado 设 计 套 件 时 序 引 擎 所 选 择 的 自 动 衍 生 时 钟 名 称 并 不 合 适, 您 可 以 使 用 create_ generated_clock 命 令 强 行 定 义 自 己 的 名 称, 此 时 无 需 指 定 波 形 转 换 该 约 束 应 刚 好 位 于 约 束 文 件 Send Feedback 170

171 第 4 章 : 设 计 创 建 中 定 义 主 时 钟 的 约 束 之 后 例 如, 由 MMCM 实 例 生 成 的 时 钟 的 默 认 名 称 是 net0, 您 可 以 添 加 如 下 约 束 强 制 将 其 设 定 为 自 己 的 名 称 ( 此 例 中 是 fftclk): create_generated_clock -name fftclk [get_pins mmcm_i/clkout0] 为 避 免 歧 义, 约 束 必 须 附 着 到 时 钟 的 源 引 脚 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (UG903) [ 参 考 资 料 14] 用 户 定 义 的 生 成 时 钟 一 旦 所 有 主 时 钟 都 完 成 定 义, 您 就 可 以 利 用 Clock Networks 或 Check Timing (no_clock) 报 告 来 识 别 不 含 时 序 时 钟 的 时 钟 树 部 分, 并 相 应 地 定 义 生 成 时 钟 有 时 候 很 难 理 解 逻 辑 椎 体 (cone) 对 主 时 钟 执 行 的 变 换 这 种 情 况 下 必 须 采 用 最 保 守 的 约 束 例 如, 源 引 脚 是 时 序 单 元 输 出 主 时 钟 至 少 除 以 2, 因 此 正 确 的 约 束 应 当 为 : create_generated_clock -name clkdiv2 -divide_by 2 \ -source [get_pins fd/c] [get_pins fd/q] 最 后, 如 果 设 计 包 含 锁 存 器 那 么 锁 存 器 门 控 引 脚 也 需 要 连 接 时 序 时 钟, 如 果 约 束 缺 失,Check Timing (no_clock) 将 会 报 告 相 应 的 锁 存 器 问 题 您 可 以 按 照 上 面 的 实 例 来 定 义 这 些 时 钟 主 时 钟 与 生 成 时 钟 间 的 路 径 与 主 时 钟 不 同, 生 成 时 钟 必 须 在 主 时 钟 的 传 递 扇 出 中 进 行 定 义, 这 样 时 序 引 擎 就 能 精 确 计 算 它 们 的 插 入 延 迟 不 遵 守 这 个 原 则 会 导 致 不 正 确 的 时 序 分 析, 并 很 有 可 能 导 致 无 效 的 时 序 裕 量 例 如, 在 图 4-19 : 主 时 钟 扇 出 中 的 生 成 时 钟 中,gen_clk_reg/Q 作 为 下 个 flop (q_reg) 的 时 钟, 而 且 还 位 于 主 时 钟 c1 的 扇 出 椎 体 (cone) 中 因 此,gen_clk_reg/Q 上 应 具 有 create_generated_ clock, 而 不 是 create_clock 图 4-19 : 主 时 钟 扇 出 中 的 生 成 时 钟 Send Feedback 171

172 第 4 章 : 设 计 创 建 create_generated_clock -name GC1 -source [get_pins gen_clk_reg/c] -divide_by 2 [get_ pins gen_clk_reg/q] 核 实 时 钟 定 义 与 覆 盖 范 围 一 旦 所 有 设 计 时 钟 都 定 义 完 毕 并 且 应 用 于 内 存 之 后, 您 就 可 以 核 实 每 个 时 钟 的 波 形, 并 使 用 report_clocks 命 令 查 看 主 时 与 生 成 时 钟 之 间 的 关 系 : 此 外, 您 还 可 以 对 所 有 内 部 时 序 路 径 都 至 少 被 一 个 时 钟 覆 盖 进 行 核 实 Check Timing 报 告 可 以 为 此 提 供 两 项 检 查 内 容 : no_clock 报 告 定 义 时 钟 无 法 达 到 的 任 何 活 动 时 钟 引 脚 unconstrained_internal_endpoint 若 连 续 单 元 具 有 与 时 钟 有 关 的 时 序 检 查 且 时 钟 还 未 定 义, 则 报 告 此 类 连 续 单 元 的 所 有 数 据 输 入 引 脚 如 果 两 项 检 查 都 返 回 零, 那 么 时 序 分 析 将 具 有 高 覆 盖 范 围 调 整 时 钟 特 性 在 定 义 时 钟 及 其 波 形 后, 下 一 步 是 输 入 与 噪 声 或 不 确 定 性 建 模 有 关 的 信 息 XDC 语 言 可 将 与 抖 动 和 相 位 误 差 有 关 的 不 确 定 性 从 与 Skew 和 延 迟 建 模 有 关 的 不 确 定 性 中 区 分 开 来 抖 动 附 加 不 确 定 性 时 钟 源 位 置 的 时 钟 延 迟 MMCM 或 PLL 外 部 反 馈 回 路 延 迟 Send Feedback 172

173 第 4 章 : 设 计 创 建 抖 动 对 于 抖 动, 最 好 使 用 Vivado 设 计 套 件 的 默 认 值 按 下 列 方 法 修 改 默 认 计 算 : 如 果 不 同 数 量 的 抖 动 进 入 器 件, 可 在 每 个 主 时 钟 上 使 用 set_input_jitter 命 令 如 果 器 件 电 源 有 噪 声, 想 要 调 整 全 局 抖 动, 应 使 用 set_system_jitter 对 于 生 成 时 钟, 抖 动 源 自 主 时 钟 和 时 钟 修 改 模 块 的 特 性 用 户 不 需 要 调 整 这 些 数 字 附 加 不 确 定 性 当 您 需 要 在 时 钟 的 时 序 路 径 或 两 个 时 钟 之 间 添 加 额 外 裕 量 时, 必 须 使 用 set_clock_uncertainty 命 令 这 也 是 对 设 计 某 个 部 分 进 行 过 约 束 且 不 改 变 实 际 时 钟 边 缘 和 整 体 时 钟 关 系 的 最 佳 和 最 安 全 的 方 法 用 户 定 义 的 时 钟 不 确 定 性 附 加 于 Vivado 工 具 计 算 的 抖 动, 而 且 可 针 对 设 置 和 保 持 分 析 单 独 进 行 指 定 例 如, 设 计 时 钟 的 裕 量 需 严 格 地 设 置 在 500ps, 以 使 设 计 的 设 置 和 保 持 抗 噪 声 能 力 更 强 : set_clock_uncertainty -from clk0 -to clk 如 果 您 在 两 个 时 钟 之 间 指 定 附 加 不 确 定 性, 那 么 约 束 必 须 同 时 应 用 于 两 个 方 向 ( 假 设 数 据 向 两 个 方 向 流 动 ) 下 面 的 例 子 展 示 了 如 何 仅 针 对 设 置 而 将 clk0 和 clk1 之 间 的 不 定 性 增 大 250ps : set_clock_uncertainty -from clk0 -to clk setup set_clock_uncertainty -from clk1 -to clk setup 时 钟 源 位 置 的 时 钟 延 迟 可 使 用 带 -source 选 项 的 set_clock_latency 命 令 对 时 钟 源 位 置 的 时 钟 延 迟 进 行 建 模 该 方 法 在 两 种 情 况 下 有 用 : 指 定 器 件 外 部 与 输 入 和 输 出 延 迟 约 束 无 关 的 时 钟 延 迟 传 播 对 模 块 在 无 关 联 (OOC) 编 译 过 程 中 使 用 的 时 钟 的 内 部 传 播 延 迟 进 行 建 模 在 这 样 的 编 译 流 程 中, 未 对 完 整 时 钟 树 进 行 描 述, 因 此 无 法 自 动 计 算 模 块 外 部 最 小 和 最 大 运 行 条 件 之 间 的 差 异, 必 须 进 行 手 动 建 模 此 约 束 只 能 由 高 级 用 户 使 用, 因 为 通 常 很 难 提 供 合 法 的 延 迟 数 值 Send Feedback 173

174 第 4 章 : 设 计 创 建 MMCM 或 PLL 外 部 反 馈 回 路 延 迟 当 连 接 MMCM 或 PLL 反 馈 回 路 用 以 补 偿 电 路 板 延 迟 ( 而 非 内 部 时 钟 插 入 延 迟 ) 时, 必 须 使 用 set_ external_delay 命 令 指 定 最 好 和 最 差 情 况 下 FPGA 器 件 外 部 延 迟 未 指 定 此 延 迟 将 使 MMCM 或 PLL 有 关 的 I/O 时 序 分 析 变 得 无 关 紧 要, 并 可 能 导 致 时 序 收 敛 无 法 实 现 此 外, 当 使 用 外 部 补 偿 时, 必 须 相 应 地 调 整 输 入 和 输 出 延 迟 增 益 值, 而 不 是 仅 仅 考 虑 正 常 情 况 下 电 路 板 上 的 时 钟 走 线 延 迟 约 束 输 入 和 输 出 端 口 除 了 指 定 设 计 中 每 个 端 口 的 位 置 和 I/O 标 准 以 外, 还 必 须 指 定 输 入 和 输 出 延 迟 约 束, 以 描 述 进 / 出 FPGA 器 件 接 口 的 外 部 路 径 时 序 定 义 这 些 延 迟 所 对 应 的 时 钟 通 常 也 在 电 路 板 上 生 成 并 进 入 FPGA 器 件 有 些 情 况 下, 延 迟 必 须 相 对 于 内 部 时 钟 进 行 定 义, 这 取 决 于 I/O 路 径 的 时 钟 拓 扑 结 构 系 统 级 视 角 I/O 路 径 与 其 它 reg-to-reg 路 径 一 样 由 Vivado 设 计 套 件 时 序 引 擎 进 行 建 模, 除 非 这 部 分 路 径 位 于 FPGA 器 件 外 部 并 需 要 由 用 户 来 进 行 描 述 当 分 析 内 部 路 径 时, 应 在 立 和 保 持 分 析 时 考 虑 最 小 和 最 大 延 迟 这 对 于 I/O 路 径 来 说 也 是 如 此 基 于 这 个 原 因, 对 最 小 和 最 大 延 迟 条 件 进 行 描 述 就 显 得 尤 为 重 要 默 认 情 况 下 I/O 时 序 路 径 可 作 为 单 周 期 路 径 进 行 分 析, 也 就 是 : 对 于 最 大 延 迟 分 析 ( 建 立 ), 在 发 送 沿 后 的 一 个 时 钟 周 期 捕 获 数 据 对 于 最 小 延 迟 分 析 ( 保 持 ), 在 相 同 时 钟 沿 发 送 和 接 收 数 据 如 果 时 钟 和 I/O 数 据 之 间 的 关 系 必 须 以 不 同 方 式 计 时 ( 例 如 在 时 钟 源 同 步 接 口 中 ), 那 么 必 须 指 定 不 同 的 I/O 延 迟 和 附 加 时 序 例 外 这 相 当 于 高 级 I/O 时 序 约 束 方 案 定 义 输 入 延 迟 输 入 延 迟 相 对 于 器 件 接 口 处 的 时 钟 进 行 定 义 除 非 已 经 在 参 考 时 钟 的 源 引 脚 上 指 定 了 set_clock_ latency, 否 则 输 入 延 迟 相 当 于 从 发 送 沿 到 时 钟 走 线 外 部 器 件 和 数 据 走 线 的 绝 对 时 间 如 果 已 单 独 指 定 时 钟 延 迟, 那 么 就 可 以 忽 略 时 钟 走 线 延 迟 Send Feedback 174

175 第 4 章 : 设 计 创 建 图 4-20 : 输 入 延 迟 计 算 两 类 分 析 的 输 入 延 迟 数 值 : Input Delay(max) = Tco(max) + Ddata(max) + Dclock_to_ExtDev(max) - Dclock_to_FPGA(min) Input Delay(min) =Tco(min) + Ddata(min) + Dclock_to_ExtDev(min) - Dclock_to_FPGA(max) 图 4-21 : 解 读 最 小 和 最 大 输 入 延 迟 给 出 了 建 立 ( 最 大 ) 和 保 持 ( 最 小 ) 分 析 中 输 入 延 迟 约 束 的 简 单 实 例, 假 设 已 在 CLK 端 口 上 对 sysclk 时 钟 进 行 定 义 : set_input_delay -max -clock sysclk 5.4 [get_ports DIN] set_input_delay -min -clock sysclk 2.1 [get_ports DIN] 图 4-21 : 解 读 最 小 和 最 大 输 入 延 迟 负 输 入 延 迟 意 味 着 数 据 在 发 送 时 钟 沿 之 前 到 达 器 件 接 口 定 义 输 出 延 迟 输 出 延 迟 与 输 入 延 迟 类 似, 除 非 指 的 是 为 了 在 所 有 条 件 下 起 均 作 用 的 FPGA 器 件 外 的 输 出 路 径 最 小 和 最 大 时 间 Send Feedback 175

176 第 4 章 : 设 计 创 建 图 4-22 : 输 出 延 迟 计 算 两 类 分 析 的 输 出 延 迟 数 值 : Output Delay(max) = Tsetup + Ddata(max) + Dclock_to_FPGA(max) - Dclock_to_ExtDev(min) Output Delay(min) = Thold + Ddata(min) + Dclock_to_FPGA(min) - Dclock_to_ExtDev(max) 图 4-23 : 解 读 最 小 和 最 大 输 出 延 迟 给 出 了 建 立 ( 最 大 ) 和 保 持 ( 最 小 ) 分 析 中 输 出 延 迟 约 束 的 简 单 实 例, 假 设 已 在 CLK 端 口 上 对 sysclk 时 钟 进 行 定 义 : set_output_delay -max -clock sysclk 2.4 [get_port, s DOUT] setoutput_delay -min -clock sysclk -1.1 [get_ports DOUT] 图 4-23 : 解 读 最 小 和 最 大 输 出 延 迟 输 出 延 迟 相 当 于 接 收 边 缘 之 前 电 路 板 上 的 延 迟 对 于 时 钟 和 数 据 电 路 板 走 线 比 较 平 衡 的 一 般 系 统 同 步 接 口 而 言, 目 标 器 件 的 建 立 时 间 可 定 义 最 大 分 析 的 输 出 延 迟 值 目 标 保 持 时 间 可 定 义 最 小 分 析 的 输 出 延 迟 指 定 最 小 输 出 延 迟 表 示 信 号 从 设 计 中 出 来 以 后 在 用 于 下 个 时 序 元 件 的 保 持 分 析 之 Send Feedback 176

177 第 4 章 : 设 计 创 建 前 所 引 发 的 最 小 延 迟 因 此, 模 块 内 部 的 延 迟 可 以 小 很 多 最 小 输 出 延 迟 正 值 表 示 信 号 在 设 计 内 部 可 具 有 负 延 迟 这 就 是 为 什 么 最 小 输 出 延 迟 经 常 是 负 值 的 原 因 例 如 : set_output_delay -min clock CLK [get_ports DOUT] 表 示 设 计 内 部 直 到 DOUT 的 延 迟 必 须 至 少 是 +0.5 ns 选 择 参 考 时 钟 应 根 据 用 于 控 制 时 序 单 元 ( 这 些 单 元 与 输 入 或 输 出 端 口 有 关 ) 的 时 钟 树 拓 扑 结 构 情 况 选 择 最 合 适 的 时 钟 来 定 义 输 入 或 输 出 延 迟 约 束 识 别 与 每 个 端 口 有 关 的 时 钟 在 定 义 I/O 延 迟 约 束 之 前, 必 须 首 先 识 别 哪 些 时 钟 与 端 口 相 关 联 有 几 种 方 法 可 用 来 识 别 这 些 时 钟 : 浏 览 设 计 原 理 图 报 告 进 出 端 口 的 时 序 让 Vivado 设 计 套 件 自 动 识 别 采 样 时 钟 浏 览 设 计 原 理 图 对 于 每 个 端 口, 可 将 路 径 原 理 图 扩 展 至 时 序 单 元 的 第 一 层, 然 后 将 这 单 元 的 时 钟 引 脚 追 溯 至 时 钟 源 对 于 连 接 高 扇 出 网 络 的 端 口 而 言, 这 种 方 法 无 法 实 现 报 告 进 出 端 口 的 时 序 无 论 端 口 是 否 经 过 约 束, 都 可 以 使 用 report_timing 命 令 识 别 其 在 设 计 中 的 相 关 时 钟 一 旦 所 有 时 序 时 钟 都 定 义 完 毕, 就 可 以 报 告 进 出 I/O 端 口 的 最 差 路 径, 创 建 与 报 告 时 钟 有 关 的 I/O 延 迟 约 束, 并 重 新 运 行 设 计 中 其 它 时 钟 的 相 同 时 序 报 告 如 果 端 口 似 乎 与 多 个 时 钟 关 联, 应 建 立 相 应 的 约 束 并 重 复 此 过 程 例 如,din 输 入 端 口 关 联 设 计 中 的 clk0 和 clk1 时 钟 : report_timing -from [get_ports din] -sort_by group 报 告 显 示 din 端 口 与 clk0 关 联 输 入 延 迟 约 束 为 ( 同 时 适 用 于 该 实 例 中 的 最 小 和 最 大 延 迟 ): set_input_delay -clock clk0 5 [get_ports din] Send Feedback 177

178 第 4 章 : 设 计 创 建 采 用 与 之 前 相 同 的 命 令 重 新 运 行 时 序 分 析, 并 观 察 到 din 也 关 联 于 clk1, 这 是 因 为 -sort_by group 选 项 可 报 告 每 个 端 点 时 钟 的 N 条 路 径 您 可 以 添 加 相 应 的 延 迟 约 束, 并 重 新 运 行 报 告 以 验 证 din 端 口 与 其 它 时 钟 没 有 关 联 通 过 查 看 未 约 束 路 径 段, 可 利 用 Timing Summary 报 告 完 成 相 同 的 分 析 由 于 设 计 中 只 有 时 钟 约 束, 则 该 部 分 内 容 如 下 所 示 : 没 有 时 钟 名 ( 或 Vivado IDE 中 的 <NONE>) 的 字 段 是 指 起 点 (From Clock) 或 终 点 (To Clock) 未 关 联 时 钟 的 一 组 路 径 未 约 束 的 I/O 端 口 属 于 此 类 情 况 可 通 过 浏 览 报 告 的 剩 余 部 分 来 对 它 们 的 称 进 行 检 索 例 如, 在 Vivado IDE 中, 通 过 选 择 clk0 至 NONE 类 别 的 Setup 路 径, 就 可 以 在 To 列 中 看 到 由 clk0 驱 动 的 端 口 : 图 4-24 : 获 得 未 约 束 的 输 出 端 口 列 表 在 添 加 新 约 束 并 应 用 于 存 储 器 后, 必 须 重 新 运 行 报 告 以 确 定 哪 些 端 口 仍 然 未 被 约 束 对 于 大 多 数 设 计 来 说, 必 须 增 加 报 告 路 径 的 数 量, 以 确 保 所 有 I/O 路 径 都 已 在 报 告 中 列 出 让 Vivado 设 计 套 件 自 动 识 别 采 样 时 钟 无 需 指 定 关 联 时 钟, 您 就 能 使 用 set_input_delay 和 set_output_delay 约 束 Vivado 设 计 套 件 时 序 引 擎 对 设 计 进 行 分 析, 并 自 动 为 每 个 端 口 关 联 所 有 采 样 时 钟 然 后, 通 过 报 告 I/O 路 径 上 的 时 序, 就 可 看 到 该 工 具 如 何 约 束 每 个 I/O 端 口 这 样 便 于 快 速 对 设 计 进 行 约 束, 但 如 果 这 种 通 用 约 束 过 于 普 遍 且 无 法 准 确 模 拟 硬 件 的 实 际 情 况, 那 么 这 种 通 用 约 束 就 会 引 发 问 题 Send Feedback 178

179 第 4 章 : 设 计 创 建 使 用 主 时 钟 当 时 钟 直 接 控 制 I/O 路 径 时 序 单 元, 且 未 穿 过 任 何 时 钟 修 改 模 块 时, 应 使 用 主 时 钟 ( 即 输 入 电 路 板 时 钟 ) 不 能 将 I/O 延 迟 线 路 视 为 时 钟 修 改 模 块, 因 为 它 们 只 影 响 时 钟 插 入 延 迟, 不 影 响 波 形 之 前 在 定 义 输 入 延 迟 和 定 义 输 出 延 迟 两 部 分 中 提 供 的 两 个 实 例 已 对 这 种 情 况 加 以 阐 述 大 部 分 时 间 里, 外 部 器 件 的 接 口 特 性 也 针 对 相 同 的 电 路 板 时 钟 进 行 定 义 当 主 时 钟 由 零 保 持 违 规 (ZHOLD) 模 式 下 FPGA 内 的 PLL 或 MMCM 进 行 补 偿 时,I/O 路 径 时 序 单 元 会 被 连 接 到 主 时 钟 的 一 个 内 部 副 本 中 ( 例 如 生 成 时 钟 ) 由 于 两 个 时 钟 的 波 形 相 同, 因 此 赛 灵 思 建 议 将 主 时 钟 作 为 输 入 / 输 出 延 迟 约 束 的 参 考 时 钟 来 使 用 图 4-25 : 时 钟 路 径 中 ZHOLD MMCM 点 的 输 入 延 迟 这 些 约 束 与 定 义 输 入 延 迟 中 提 供 的 实 例 相 同, 因 为 ZHOLD MMCM 的 作 用 类 似 于 时 钟 缓 冲 器, 并 具 有 一 个 相 当 于 补 量 的 负 插 入 延 迟 使 用 生 成 时 钟 若 电 路 板 时 钟 穿 过 时 钟 修 改 模 块, 而 该 模 块 除 了 补 偿 整 体 插 入 延 迟 外 还 对 波 形 进 行 转 换, 那 么 建 议 使 用 内 部 生 成 时 钟 作 为 输 出 延 迟 的 参 考 时 钟 其 结 果 是 要 求 I/O 路 径 为 常 规 的 单 周 期 路 径, 且 不 会 将 I/O 路 径 作 为 具 有 很 严 格 要 求 的 跨 时 钟 域 路 径 来 对 待 例 如, 假 设 sysclk 电 路 板 时 钟 频 率 为 100MHz, 与 MMCM 相 乘 后 生 成 频 率 为 266MHz 的 clk266 由 clk266 生 成 的 输 出 应 使 用 clk266 作 为 参 考 时 钟 如 果 试 图 将 sysclk 作 为 参 考 时 钟 ( 针 对 set_ output_delay 规 范 ), 它 将 表 现 为 异 步 时 钟, 且 该 路 径 不 再 作 为 单 周 期 路 径 进 行 计 时 对 于 输 出 源 同 步 接 口, 设 计 会 生 成 一 个 内 部 时 钟 副 本, 并 将 随 着 数 据 一 起 转 发 到 电 路 板 无 论 何 Send Feedback 179

180 第 4 章 : 设 计 创 建 时 试 图 控 制 和 报 告 转 发 时 钟 与 数 据 间 的 相 位 关 系 (Skew), 该 时 钟 都 将 作 为 输 出 数 据 延 迟 约 束 的 参 考 时 钟 来 使 用 参 考 时 钟 上 升 和 下 降 沿 I/O 约 束 使 用 的 时 钟 沿 必 须 与 连 接 FPGA 器 件 的 外 部 器 件 的 数 据 手 册 一 致 默 认 情 况 下,set_input_ delay 和 set_output_delay 命 令 定 义 一 个 相 对 于 参 考 时 钟 上 升 沿 的 延 迟 约 束 您 必 须 使 用 clock_fall 选 项 来 设 定 一 个 相 对 于 时 钟 下 降 沿 的 延 迟 此 外, 您 还 可 以 使 用 add_delay 选 项 为 相 对 于 时 钟 上 升 沿 和 下 降 沿 的 延 迟 分 别 指 定 约 束, 且 第 二 个 约 束 在 端 口 上 大 多 数 情 况 下,I/O 参 考 时 钟 沿 对 应 于 用 来 锁 存 或 发 送 FPGA 内 I/O 数 据 的 时 钟 沿 通 过 分 析 I/O 时 序 路 径, 您 可 以 检 查 哪 些 时 钟 沿 已 经 使 用, 并 核 实 它 们 对 应 于 实 际 的 硬 件 行 为 对 于 只 与 时 钟 下 降 沿 内 部 相 关 的 I/O 路 径 而 言, 如 果 误 将 时 钟 上 升 沿 作 为 该 路 径 的 参 考 时 钟, 那 么 路 径 要 求 是 ½ 周 期, 就 会 使 时 序 收 敛 更 加 困 难 核 实 延 迟 约 束 一 旦 输 入 I/O 时 序 约 束, 请 务 必 检 查 I/O 路 径 上 的 时 序 是 如 何 进 行 分 析 的, 以 及 建 立 和 保 持 检 查 的 时 序 裕 量 违 规 量 使 用 建 立 和 保 持 分 析 (delay type = min_max) 中 进 出 所 有 端 口 的 时 序 报 告, 可 以 核 实 以 下 内 容 : 使 用 正 确 的 时 钟 和 时 钟 边 沿 作 为 延 迟 约 束 的 参 考 使 用 预 期 时 钟 来 发 送 和 收 FPGA 器 件 内 部 的 I/O 数 据 通 过 布 局 或 设 置 适 当 的 延 迟 线 tap 配 置 可 以 适 当 修 复 违 规 问 题 如 果 不 行, 那 么 必 须 检 查 I/O 约 束 中 输 入 的 延 迟 数 值, 并 评 估 它 们 是 否 符 合 实 际, 以 及 是 否 需 要 修 改 设 计 以 满 足 时 序 要 求 I/O 路 径 报 告 命 令 行 实 例 report_timing -from [all_inputs] -nworst sort_by group \ -delay_type min_max report_timing -to [all_outputs] -nworst sort_by group \ -delay_type min_max 不 正 确 的 I/O 延 迟 约 束 会 导 致 时 序 无 法 收 敛 实 现 工 具 由 时 序 驱 动, 并 用 于 优 化 布 局 和 布 线 以 达 到 时 序 要 求 如 果 I/O 路 径 要 求 无 法 得 到 满 足, 加 上 设 计 中 I/O 路 径 违 规 问 题 最 为 严 重, 那 么 整 体 设 计 的 QoR 将 会 受 到 影 响 Send Feedback 180

181 第 4 章 : 设 计 创 建 输 入 至 输 出 直 通 路 径 有 多 种 用 来 约 束 输 入 端 口 到 输 出 端 口 间 组 合 路 径 的 等 效 方 法 实 例 1 针 对 直 通 路 径 使 用 周 期 大 于 或 等 于 最 大 目 标 延 迟 的 虚 拟 时 钟, 并 按 如 下 方 法 应 用 最 大 输 入 和 输 出 延 迟 约 束 : 其 中 create_clock -name vclk -period 10 set_input_delay -clock vclk <input_delay_val> [get_ports din] -max set_output_delay -clock vclk <output_delay_val> [get_ports dout] max input_delay_val + maximum feedthrough path delay + output_delay_val = vclk period. 本 例 中, 只 约 束 最 大 延 迟 实 例 2 在 直 通 端 口 之 间 使 用 最 小 与 最 大 延 迟 约 束 组 合 实 例 : set_max_delay -from [get_ports din] -to [get_ports dout] 10 set_min_delay -from [get_ports din] -to [get_ports dout] 2 该 方 法 便 于 同 时 约 束 路 径 上 的 最 小 与 最 大 延 迟 时 序 分 析 过 程 中 相 同 端 口 上 任 何 现 有 的 输 入 和 输 出 延 迟 约 束 也 都 被 使 用 因 此, 这 种 方 法 不 是 很 受 欢 迎 最 大 延 迟 通 常 应 针 对 慢 时 序 orner 进 行 优 化 和 报 告, 而 最 小 延 迟 则 在 快 速 时 序 Corner 中 最 好 对 直 通 路 径 延 迟 约 束 运 行 几 次 迭 代, 以 验 证 其 合 理 性 并 可 满 足 于 实 现 工 具, 尤 其 对 于 端 口 间 距 离 比 较 远 的 情 况 更 应 如 此 使 用 XDC 模 板 源 同 步 接 口 尽 管 大 部 分 用 户 都 可 以 为 系 统 同 步 接 口 正 确 编 写 时 序 约 束, 但 是 赛 灵 思 仍 然 建 议 针 对 源 同 步 接 口 使 用 I/O 约 束 模 板 源 同 步 约 束 可 用 多 种 方 法 进 行 编 写 Vivado 设 计 套 件 提 供 的 模 板 以 默 认 时 序 分 析 路 径 要 求 为 基 础 语 法 更 为 简 单, 但 必 须 调 整 延 迟 数 值 以 适 应 分 析 的 执 行 方 式 由 于 时 钟 沿 不 直 接 对 应 于 硬 件 中 的 活 动 时 钟 沿, 因 此 时 序 报 告 读 起 来 会 更 加 困 难 您 可 以 通 过 Vivado GUI 的 Window > Language Templates > XDC > TimingConstraints > Input Delay Constraints > Source Synchronous 来 找 到 模 板 Send Feedback 181

182 第 4 章 : 设 计 创 建 定 义 时 钟 组 和 CDC 约 束 Vivado IDE 在 默 认 情 况 下 可 为 设 计 中 所 有 时 钟 之 间 的 路 径 设 定 时 序 set_clock_groups 命 令 关 闭 的 是 你 所 识 别 出 的 时 钟 组 之 间 的 时 序 分 析, 而 非 某 个 相 同 组 中 时 钟 间 的 时 序 分 析 与 set_clock_ groups 不 同,set_false_path 约 束 只 忽 略 由 from 和 to 选 项 设 定 了 方 向 的 时 钟 之 间 的 时 序 在 某 些 特 定 情 况 下, 可 在 Clock Domain Crossing (CDC) 路 径 上 设 定 最 大 延 迟 约 束, 以 限 制 这 些 路 径 上 一 个 或 多 个 信 号 的 延 迟 如 果 时 钟 组 或 伪 路 径 约 束 已 存 在 于 时 钟 之 间 或 相 同 DC 路 径 上, 那 么 最 大 延 迟 约 束 将 被 忽 略 因 此, 在 选 择 CDC 时 序 约 束 之 前, 为 避 免 约 束 冲 突, 一 定 要 彻 底 检 查 所 有 时 钟 对 之 间 的 每 条 路 径 建 议 : 您 还 应 运 行 methodology_check DRC 规 则 平 台 敬 请 参 阅 第 214 页 的 运 行 Methodology DRC 检 查 时 钟 交 互 若 两 个 时 钟 之 间 有 一 个 逻 辑 路 径, 应 为 两 时 钟 设 定 时 序 时 钟 关 系 可 以 是 : 同 步 异 步 专 属 (exclusive) 同 步 当 两 个 时 钟 具 有 固 定 相 位 关 系 时, 时 钟 关 系 为 同 步 符 合 以 下 条 件 时 成 立 : 它 们 分 享 共 用 电 路 ( 共 用 节 点 ), 或 它 们 分 享 相 同 的 主 时 钟 ( 相 同 初 始 相 位 ) 异 步 当 两 个 时 钟 不 具 备 固 定 相 位 关 系 时, 时 钟 关 系 为 异 步 当 满 足 下 列 条 件 之 一 时 成 立 : 它 们 不 分 享 设 计 中 的 任 何 共 用 电 路, 也 没 有 共 用 主 时 钟 它 们 在 1000 个 时 钟 周 期 (unexpandable) 内 没 有 共 同 周 期, 而 且 时 序 引 擎 无 法 正 确 将 它 们 的 时 序 安 排 在 一 起 Send Feedback 182

183 第 4 章 : 设 计 创 建 专 属 当 在 相 同 时 钟 树 上 传 播 并 到 达 相 同 时 序 单 元 时 钟 引 脚 时, 时 钟 为 专 属 关 系, 无 法 同 时 处 于 活 动 状 态 时 钟 对 分 类 可 使 用 两 个 特 定 报 告 对 时 钟 对 进 行 分 类 : 时 钟 交 互 报 告 检 查 时 序 报 告 时 钟 交 互 报 告 时 钟 交 互 报 告 高 度 总 结 了 如 何 将 两 个 时 钟 进 行 时 序 同 步 : 两 个 时 钟 是 否 有 共 用 主 时 钟? 当 正 确 定 义 时 钟 时, 源 自 设 计 中 相 同 时 钟 源 的 所 有 时 钟 共 享 相 同 的 主 时 钟 两 个 时 钟 是 否 有 共 用 周 期? 当 时 序 引 擎 无 法 确 定 最 悲 观 的 建 立 或 保 持 关 系 时, 该 内 容 显 示 在 建 立 或 保 持 路 径 要 求 列 中 ( unexpandable ) 两 个 时 钟 之 间 的 路 径 是 否 部 分 或 完 全 被 时 钟 组 或 时 序 例 外 约 束 覆? 两 个 时 钟 之 间 的 建 立 路 径 要 求 是 不 是 非 常 严 格? 当 两 个 时 钟 为 同 步, 但 它 们 的 周 期 未 被 指 定 为 精 确 倍 数 时 ( 例 如 因 为 四 舍 五 入 ), 会 出 现 这 种 情 况 经 过 多 个 时 钟 周 期 后, 边 沿 会 出 现 偏 离, 从 而 导 致 最 差 条 件 的 时 序 要 求 非 常 严 格 检 查 时 序 报 告 检 查 时 序 报 告 (multiple_clock) 能 识 别 哪 些 时 钟 引 脚 连 接 一 个 以 上 时 钟 如 果 这 些 时 钟 之 间 已 经 定 义 了 set_clock_groups 或 set_false_path 约 束, 那 么 时 钟 引 脚 会 列 在 单 独 的 子 类 下 面, 以 指 明 这 些 时 钟 之 间 不 会 进 行 时 序 分 析 约 束 专 属 (exclusive) 时 钟 组 可 以 使 用 常 规 时 序 或 时 钟 网 络 报 告 来 检 查 时 钟 路 径, 并 鉴 别 哪 些 情 况 下 两 个 时 钟 在 相 同 时 钟 树 上 传 播 并 在 起 点 和 终 点 时 钟 引 脚 连 接 到 相 同 时 钟 树 的 时 序 路 径 中 被 同 时 使 用 这 种 分 析 比 较 耗 时 另 一 种 方 法 是 您 可 以 检 查 时 序 报 告 的 multiple_clock 部 分 该 部 分 返 回 一 个 时 钟 引 脚 及 其 相 关 时 序 时 钟 的 列 表 Send Feedback 183

184 第 4 章 : 设 计 创 建 根 据 时 钟 树 拓 扑 结 构 的 类 型, 您 应 使 用 不 同 约 束 : 在 相 同 时 钟 根 上 定 义 的 重 叠 时 钟 由 时 钟 多 路 复 用 器 驱 动 的 重 叠 时 钟 在 相 同 时 钟 根 上 定 义 的 重 叠 时 钟 当 两 个 时 钟 通 过 create_clock add 命 令 定 义 在 相 同 的 根 上 并 代 表 应 用 的 多 个 模 式 时, 会 出 现 这 种 情 况 此 时, 为 安 全 起 见 应 在 时 钟 之 间 使 用 一 个 时 钟 组 约 束 例 如 : create_clock -name clk_mode0 -period 10 [get_ports clkin] create_clock -name clk_mode1 -period add [get_ports clkin] set_clock_groups -physically_exclusive -group clk_mode0 -group clk_mode1 如 果 clk_mode0 clk_mode1 时 钟 生 成 其 它 时 钟, 还 需 要 它 们 生 成 的 时 钟 应 用 相 同 约 束, 可 按 如 下 方 式 实 现 : set_clock_groups -physically_exclusive \ -group [get_clocks -include_generated_clock clk_mode0] \ -group [get_clocks -include_generated_clock clk_mode1] 由 时 钟 多 路 复 用 器 驱 动 的 重 叠 时 钟 当 两 个 或 更 多 时 钟 进 入 多 路 复 用 器 ( 或 更 为 常 见 的 组 合 单 元 ) 时, 这 些 时 钟 都 可 传 播 出 去, 并 在 单 元 的 扇 出 上 重 叠 实 际 上, 一 次 只 能 传 播 一 个 时 钟, 但 时 序 分 析 允 许 同 时 报 告 多 个 时 序 模 式 因 此, 您 必 须 检 查 CDC 路 径 并 添 加 新 的 约 束, 以 忽 略 一 些 时 钟 关 系 正 确 的 约 束 取 决 于 设 计 中 的 时 钟 如 何 以 及 在 哪 里 交 互 图 4-26 中 的 实 例 是 两 个 进 入 多 路 复 用 器 的 时 钟 以 及 进 入 多 路 复 用 器 前 后 时 钟 间 可 能 的 交 互 情 况 Send Feedback 184

185 第 4 章 : 设 计 创 建 图 4-26 : 多 路 复 用 时 钟 当 路 径 A B 和 C 不 存 在 时 的 情 况 clk0 和 clk1 只 在 多 路 复 用 器 (FDM0 和 FDM1) 的 扇 出 中 交 互 直 接 向 clk0 和 clk1 应 用 时 钟 组 约 束 是 安 全 的 set_clock_groups -logically_exclusive -group clk0 -group clk1 当 只 有 路 径 A 或 B 或 C 存 在 时 的 情 况 clk0 和 / 或 clk1 直 接 与 多 路 复 用 时 钟 交 互 为 保 持 路 径 A B 和 C 的 时 序, 约 束 不 能 直 接 应 用 于 clk0 和 clk1, 而 是 应 用 于 位 于 多 路 复 用 器 扇 出 中 的 那 部 分 时 钟, 这 就 需 要 附 加 的 时 钟 定 义 create_generated_clock -name clk0mux -divide_by 1 \ -source [get_pins mux/i0] [get_pins mux/o] create_generated_clock -name clk1mux -divide_by 1 \ -add -master_clock clk1 \ -source [get_pins mux/i1] [get_pins mux/o] set_clock_groups -physically_exclusive -group clk0mux -group clk1mux 约 束 异 步 时 钟 组 和 时 钟 域 交 叉 可 在 时 钟 交 互 报 告 中 快 速 识 别 异 步 关 系 : 无 共 用 主 时 钟 或 无 共 用 周 期 (unexpanded) 的 时 钟 对 如 果 时 钟 从 不 同 的 源 生 成, 即 使 时 钟 周 期 相 同 ( 相 关 ), 它 们 仍 是 异 步 时 钟 必 须 仔 细 检 查 异 步 时 钟 域 交 叉 (CDC) 路 径 以 确 保 它 们 使 用 正 确 的 同 步 电 路, 且 同 步 电 路 不 依 赖 于 时 序 的 正 确 性 并 可 Send Feedback 185

186 第 4 章 : 设 计 创 建 以 最 大 程 度 降 低 发 生 亚 稳 态 的 几 率 异 步 CDC 路 径 通 常 具 有 较 高 的 Skew 和 / 或 不 实 际 的 路 径 要 求, 因 此 不 能 用 默 认 时 序 分 析 进 行 计 时, 因 为 这 样 无 法 证 明 它 们 能 够 在 硬 件 中 发 挥 功 能 应 实 施 的 具 体 约 束 为 : 双 方 向 时 钟 间 的 全 局 约 束 单 独 CDC 路 径 上 的 约 束 双 方 向 时 钟 间 的 全 局 约 束 当 无 需 限 制 最 大 延 迟 时, 可 以 使 用 时 钟 组 下 面 是 忽 略 clka 与 clkb 间 路 径 的 实 例 : set_clock_groups -asynchronous -group clka -group clkb 当 两 个 主 时 钟 以 及 它 们 各 自 的 生 成 时 钟 构 成 两 个 异 步 域, 且 两 域 之 间 所 有 路 径 都 被 正 确 同 步 时, 可 立 即 对 多 个 时 钟 同 时 应 用 时 钟 组 约 束 : set_clock_groups -asynchronous \ -group {clka clka_gen0 clkb_gen1 } \ -group {clkb clkb_gen0 clkb_gen1 } 或 简 单 地 : set_clock_groups -asynchronous \ -group [get_clocks -include_generated_clock clka] \ -group [get_clocks -include_generated_clock clkb] 单 独 CDC 路 径 上 的 约 束 如 果 CDC 总 线 使 用 格 雷 码 编 码 ( 如 FIFO) 或 者 延 迟 需 要 限 制 在 一 个 或 更 多 信 号 上 的 两 个 异 步 时 钟 之 间, 就 必 须 使 用 带 有 -datapath_only 选 项 的 set_max_delay 约 束 来 忽 略 这 些 路 径 上 的 时 钟 Skew 与 抖 动, 还 要 用 延 迟 要 求 覆 盖 默 认 路 径 要 求 通 常 源 时 钟 周 期 作 为 最 大 延 迟 数 值 就 足 够 了, 只 为 确 保 任 何 时 间 CDC 路 径 上 都 不 会 出 现 一 个 以 上 的 数 据 当 时 钟 周 期 间 的 比 率 较 高 时, 选 择 源 时 钟 周 期 与 目 的 地 时 钟 周 期 中 的 最 小 值 也 是 减 少 传 递 延 迟 的 好 方 法 干 净 的 异 步 CDC 路 径 在 源 时 序 单 元 与 目 的 地 时 序 单 元 之 间 不 应 存 在 任 何 逻 辑, 因 此 Max Delay Datapath Only 约 束 对 实 现 工 具 来 说 通 常 很 容 易 满 足 对 于 不 需 要 延 迟 控 制 的 路 径 而 言, 您 可 以 定 义 一 个 点 对 点 伪 路 径 约 束 Send Feedback 186

187 第 4 章 : 设 计 创 建 Clock Exceptions Precedence Over set_max_delay 当 编 写 CDC 约 束 时, 应 确 认 优 先 级 是 否 得 到 了 满 足 如 果 您 在 两 个 时 钟 间 的 至 少 一 个 路 径 上 使 用 了 set_max_delay -datapath_only, 那 么 就 不 能 在 相 同 的 时 钟 之 间 使 用 set_clock_groups 约 束, 而 且 set_false_path 约 束 只 能 用 在 两 个 时 钟 之 间 的 其 它 路 径 上 在 图 4-27 : 两 个 异 步 时 钟 间 的 多 重 交 互 中, 时 钟 clk0 的 周 期 为 5ns, 而 且 对 于 clk1 是 异 步 的 从 clk0 域 到 clk1 域 之 间 有 两 条 路 径 第 一 条 路 径 为 1 位 数 据 同 步 第 二 条 路 径 为 多 位 格 雷 码 编 码 总 线 传 送 图 4-27 : 两 个 异 步 时 钟 间 的 多 重 交 互 设 计 人 员 认 为 格 雷 码 编 码 总 线 传 送 需 要 Max Delay Datapath Only 来 限 制 这 些 位 之 间 的 延 迟 变 化, 因 此 不 可 能 在 时 钟 之 间 直 接 使 用 Clock Groups 或 False Path 约 束, 而 是 必 须 定 义 以 下 两 个 约 束 : set_max_delay -from [get_cells GCB0[*]] -to [get_cells [GCB1a[*]] \ -datapath_only 5 set_false_path -from [get_cells REG0] -to [get_cells REG1a] 没 有 必 要 设 置 一 个 从 clk1 到 clk0 的 伪 路 径, 因 为 本 例 中 它 们 之 间 不 存 在 路 径 指 定 例 外 时 序 例 外 用 来 修 改 时 序 分 析 在 特 定 路 径 上 的 执 行 方 式 默 认 情 况 下, 时 序 引 擎 假 设 所 有 路 径 都 应 Send Feedback 187

188 第 4 章 : 设 计 创 建 依 照 设 置 分 析 的 单 周 期 要 求 进 行 定 时, 以 覆 盖 最 悲 观 的 时 钟 情 况 对 于 特 定 路 径, 并 非 如 此 以 下 给 出 几 个 实 例 : 异 步 时 钟 域 交 叉 路 径 由 于 缺 乏 时 钟 之 间 的 固 定 相 位 关 系 而 无 法 安 全 定 时 它 们 应 该 被 忽 略 ( 时 钟 组, 伪 路 径 ), 或 简 单 采 用 数 据 路 径 延 迟 约 束 ( 仅 最 大 延 迟 数 据 路 径 ) 时 序 单 元 发 送 和 接 收 沿 并 非 在 每 个 时 钟 周 期 都 是 活 动 的, 因 此 可 以 相 应 降 低 路 径 要 求 ( 多 周 期 路 径 ) 路 径 延 迟 要 求 需 要 增 强, 以 增 大 硬 件 中 的 设 计 余 量 ( 最 大 延 迟 ) 通 过 组 合 单 元 的 路 径 是 静 态 的, 而 且 不 需 要 定 时 ( 伪 路 径,Case 分 析 ) 只 能 利 用 多 路 复 用 器 驱 动 的 一 个 特 定 时 钟 来 执 行 分 析 (Case 分 析 ) 任 何 情 况 下 都 必 须 小 心 使 用 时 序 例 外, 不 能 因 添 加 时 序 例 外 而 隐 藏 真 实 的 时 序 问 题 时 序 例 外 指 南 使 用 有 限 数 量 的 时 序 例 外, 且 任 何 时 候 都 要 保 持 简 单 否 则, 您 将 面 临 两 个 主 要 挑 战 : 当 使 用 多 个 例 外 时, 编 译 流 程 的 运 行 时 间 将 显 著 增 加, 尤 其 是 当 它 们 附 加 到 大 量 网 表 对 象 中 时 当 多 个 例 外 覆 盖 相 同 路 径 时, 约 束 调 试 会 变 得 极 为 复 杂 对 信 号 施 加 约 束 会 阻 碍 对 信 号 的 优 化 因 此 包 含 非 必 要 的 例 外, 或 是 在 例 外 命 令 中 包 含 非 必 要 的 点, 会 对 优 化 形 成 妨 碍 以 下 是 可 能 会 对 运 行 时 间 产 生 不 利 影 响 的 时 序 例 外 实 例 : set_false_path -from [get_ports din] -to [all_registers] 如 果 din 端 口 没 有 输 入 延 迟, 就 不 被 约 束 因 此 也 不 需 要 添 加 伪 路 径 如 果 din 端 口 只 提 供 给 时 序 元 件, 那 么 无 需 明 确 向 时 序 单 元 设 定 伪 路 径 该 约 束 可 以 更 加 有 效 地 写 成 : set_false_path -from [get_ports din] 如 果 需 要 伪 路 径, 但 从 din 端 口 到 设 计 中 的 任 何 时 序 单 元 之 间 仅 存 在 少 量 路 径, 那 么 约 束 可 以 Send Feedback 188

189 第 4 章 : 设 计 创 建 更 加 具 体 (all_registers 可 以 返 回 数 千 个 单 元, 这 取 决 于 设 计 中 使 用 的 寄 存 器 数 量 ): set_false_path -from [get_ports din] -to [get_cells blocka/config_reg[*]] 时 序 例 外 优 先 级 规 则 时 序 例 外 依 照 严 格 的 优 先 级 规 则 最 重 要 的 规 则 是 : 约 束 越 具 体, 优 先 级 越 高 例 如 : set_max_delay -from [get_clocks clka] -to [get_pins inst0/d] 12 set_max_delay -from [get_clocks clka] -to [get_clocks clkb] 10 第 一 个 set_max_delay 约 束 具 有 更 高 的 优 先 级, 因 为 -to 选 项 使 用 的 pin 比 时 钟 更 具 体 例 外 优 先 级 如 下 : 1. set_false_path 2. set_max_delay or set_min_delay 3. set_multicycle_path 尽 管 set_clock_groups 命 令 相 当 于 两 个 时 钟 之 间 的 两 个 set_false_path 命 令, 但 不 将 其 视 为 时 序 例 外 它 的 优 先 级 高 于 时 序 例 外 set_case_analysis 和 set_disable_timing 命 令 禁 用 设 计 特 定 部 分 的 时 序 分 析 它 们 的 优 先 级 高 于 时 序 例 外 添 加 伪 路 径 约 束 可 向 时 序 路 径 添 加 伪 路 径 例 外 以 忽 略 这 些 路 径 上 的 时 序 裕 量 计 算 即 便 使 用 仿 真 工 具 通 常 也 很 难 证 明 路 径 不 需 要 时 序 就 能 确 保 功 能 赛 灵 思 通 常 不 建 议 使 用 伪 路 径, 除 非 相 关 风 险 得 到 正 确 评 估 并 且 可 以 接 受 使 用 Case 约 束 伪 路 径 约 束 的 典 型 用 例 为 : 对 于 从 不 活 动 的 路 径, 忽 略 其 时 序 例 如, 穿 过 两 个 多 路 复 用 器 的 路 径 由 于 选 择 引 脚 连 接 的 原 因 绝 不 会 让 数 据 在 相 同 时 钟 周 期 内 传 播 Send Feedback 189

190 第 4 章 : 设 计 创 建 图 4-28 : 不 能 激 活 的 路 径 set_false_path -through [get_pins MUX0/I0] -through [get_pins MUX1/I1] 忽 略 异 步 CDC 路 径 上 的 时 序 这 种 情 况 已 经 在 定 义 时 钟 组 和 CDC 约 束 章 节 讨 论 过 忽 略 设 计 中 的 静 态 路 径 有 些 寄 存 器 在 应 用 的 初 始 化 阶 段 接 收 一 个 值 后, 就 不 再 切 换 当 这 些 寄 存 器 似 乎 在 设 计 的 关 键 路 径 上 时, 可 以 忽 略 其 时 序, 以 缓 解 对 实 现 工 具 的 约 束 并 有 助 于 时 序 收 敛 仅 从 静 态 寄 存 器 定 义 伪 路 径 约 束 就 足 够 了, 无 需 显 式 指 定 路 径 端 点 例 如 : 通 过 添 加 如 下 伪 路 径 约 束, 便 可 忽 略 从 32 位 配 置 寄 存 器 config_reg[31..0] 到 设 计 剩 余 部 分 的 路 径 : set_false_path -from [get_cells config_reg[*]] 对 综 合 的 影 响 伪 路 径 约 束 由 综 合 支 持, 而 且 只 影 响 最 大 延 迟 ( 建 立 / 恢 复 ) 路 径 优 化 除 非 忽 略 CDC 路 径, 否 则 在 综 合 过 程 中 通 常 不 需 要 使 用 伪 路 径 例 外 对 实 现 的 影 响 所 有 实 现 步 骤 都 对 伪 路 径 时 序 例 外 比 较 敏 感 添 加 最 小 和 最 大 延 迟 约 束 最 小 和 最 大 延 迟 例 外 用 来 覆 盖 对 保 持 / 移 除 和 设 置 / 恢 复 检 查 的 默 认 路 径 要 求, 方 法 是 用 约 束 中 的 延 迟 数 值 替 代 发 射 和 捕 捉 沿 时 间 Send Feedback 190

191 第 4 章 : 设 计 创 建 用 例 使 用 最 小 或 最 大 延 迟 约 束 的 常 见 原 因 是 : 通 过 收 紧 建 立 / 恢 复 路 径 要 求 对 设 计 中 的 一 些 路 径 进 行 过 度 约 束 这 有 助 于 迫 使 逻 辑 优 化 或 布 局 工 具 更 有 效 地 作 用 于 一 些 关 键 路 径 单 元, 这 样 可 以 为 布 线 器 提 供 更 大 灵 活 性, 以 满 足 后 续 的 时 序 要 求 ( 在 移 除 最 大 延 迟 约 束 后 ) 替 代 多 周 期 约 束 对 于 每 N 个 时 钟 周 期 即 获 得 活 动 的 发 送 和 接 收 沿 的 路 径 而 言, 可 以 采 用 这 种 方 法 降 低 该 路 径 的 设 置 要 求, 但 不 推 荐 使 用 这 种 方 法 但 是, 这 种 方 法 是 唯 一 能 够 以 单 个 时 钟 周 期 几 分 之 一 的 幅 度 对 多 周 期 路 径 进 行 过 度 约 束 以 促 进 布 线 阶 段 时 序 收 敛 的 方 法 例 如, 多 周 期 约 束 为 3 的 路 径 似 乎 是 布 线 后 的 最 差 违 规 路 径, 并 且 时 序 误 差 为 几 百 皮 秒 在 优 化 和 布 局 阶 段, 可 使 用 以 下 约 束 替 代 最 初 的 多 周 期 路 径 约 束 : set_max_delay -from [get_pins <startpointcell>/c] \ -to [get_pins <endpointcell>/d] 14.5 其 中 14.5 等 于 3 个 时 钟 周 期 ( 每 个 为 5ns) 减 去 500ps, 也 就 是 所 需 的 额 外 余 量 约 束 异 步 CDC 路 径 上 的 最 大 数 据 路 径 延 迟 这 种 技 术 已 经 在 定 义 时 钟 组 和 CDC 约 束 部 分 介 绍 过 不 建 议 使 用 set_min_delay 约 束 在 路 径 上 强 制 插 入 额 外 延 迟 保 持 或 移 除 的 默 认 最 小 延 迟 要 求 通 常 足 以 在 正 裕 量 时 确 保 正 确 的 硬 件 功 能 对 综 合 的 影 响 综 合 步 骤 支 持 set_max_delay 约 束, 包 括 -datapath_only 选 项 可 忽 略 set_min_delay 约 束 对 实 现 的 影 响 set_max_delay 约 束 替 代 设 置 路 径 要 求, 并 影 响 整 个 实 现 流 程 set_min_delay 约 束 替 代 保 持 路 径 要 求, 在 需 要 修 复 保 持 时 仅 影 响 布 线 器 行 为 Send Feedback 191

192 第 4 章 : 设 计 创 建 避 免 路 径 分 段 仅 当 为 set_max_delay 和 set_min_delay 命 令 的 -from 或 -to 选 项 指 定 无 效 的 起 点 或 终 点 时 才 引 入 路 径 分 段 当 set_max_delay 为 一 条 路 径 引 入 路 径 分 段 时, 默 认 的 保 持 分 析 不 会 发 生 如 果 希 望 对 保 持 分 析 进 行 约 束, 那 么 必 须 使 用 set_min_delay 约 束 该 路 径 该 原 则 适 用 于 set_min_delay 命 令 以 及 设 置 分 析 路 径 分 段 只 能 由 专 家 级 用 户 使 用, 因 为 它 会 改 变 时 序 分 析 的 基 础 : 路 径 分 段 打 破 分 段 路 径 上 的 时 钟 Skew 计 算 路 径 分 段 可 以 打 破 set_max_delay 或 set_min_delay 分 段 命 令 约 束 的 路 径 以 外 的 更 多 路 径 当 使 用 约 束 时 工 具 会 在 日 志 文 件 中 报 告 路 径 分 段 必 须 使 用 有 效 的 起 点 和 终 点 加 以 避 免 : 起 点 时 钟 时 钟 引 脚 时 序 单 元 ( 使 用 单 元 的 有 效 起 点 引 脚 ) 输 入 或 双 向 端 口 终 点 时 钟 时 序 单 元 的 输 入 数 据 引 脚 时 序 单 元 ( 使 用 单 元 的 有 效 终 点 引 脚 ) 输 出 或 双 向 端 口 添 加 多 周 期 路 径 约 束 多 周 期 路 径 例 外 处 理 必 须 反 应 设 计 的 功 能 性, 而 且 使 用 该 例 外 处 理 的 路 径 在 源 时 钟 和 ( 或 ) 目 的 地 时 钟 的 每 个 周 期 中 不 能 有 活 动 的 时 钟 沿 路 径 乘 法 器 以 时 钟 周 期 为 表 达 形 式 ; 当 使 用 -start 选 项 时 基 于 源 时 钟, 当 使 用 -end 选 项 时 则 基 于 目 的 地 时 钟 这 样 尤 其 便 于 独 立 于 时 钟 周 期 值 以 外 修 改 起 点 与 终 点 之 间 的 建 立 和 保 持 关 系 保 持 关 系 总 是 与 建 立 关 系 相 关 联 因 此 大 多 数 情 况 下, 保 持 关 系 需 要 在 建 立 关 系 修 改 后 进 行 单 独 调 整 这 就 是 为 什 么 需 要 一 个 带 -hold 选 项 的 第 二 个 约 束 该 原 则 例 外 情 况 是 相 移 时 钟 之 间 的 同 步 CDC 路 径 : 只 有 设 置 需 要 修 改 在 以 下 的 用 例 部 分 提 供 了 一 个 实 例 Send Feedback 192

193 第 4 章 : 设 计 创 建 多 周 期 路 径 异 常 处 理 用 例 多 周 期 路 径 异 常 处 理 用 例 主 要 有 两 类 : 降 低 建 立 要 求, 同 时 让 保 持 不 变 调 整 对 相 移 时 钟 之 间 路 径 的 建 立 边 沿 分 析 降 低 建 立 要 求, 同 时 让 保 持 不 变 当 源 和 目 标 时 序 单 元 被 时 钟 使 能 信 号 ( 每 N 个 周 期 激 活 时 钟 ) 控 制 时 会 出 现 这 种 情 况 下 面 是 三 个 实 例 : 实 例 1 : 起 点 和 终 点 使 用 相 同 时 钟, 时 钟 使 能 每 3 个 周 期 激 活 一 次 实 例 2 : 从 慢 时 钟 到 快 时 钟 的 路 径 实 例 3 : 从 快 时 钟 到 慢 时 钟 的 路 径 实 例 1 : 起 点 和 终 点 使 用 相 同 时 钟, 时 钟 使 能 每 3 个 周 期 激 活 一 次 图 4-29 : 具 有 相 同 时 钟 信 号 的 触 发 器 ( 已 被 激 活 ) 图 4-30 : 设 置 / 保 持 检 查 的 时 序 图 Send Feedback 193

194 第 4 章 : 设 计 创 建 约 束 set_multicycle_path -from [get_pins REGA/C] -to [get_pins REGB/D] -setup 3 set_multicycle_path -from [get_pins REGA/C] -to [get_pins REGB/D] -hold 2 图 4-31 : 实 施 多 周 期 技 术 参 数 后 设 置 / 保 持 检 查 被 修 改 注 : 使 用 第 一 个 命 令 后, 设 置 捕 获 沿 移 到 第 三 个 时 钟 沿 ( 即 从 默 认 位 置 移 动 2 个 周 期 ), 保 持 沿 也 移 动 两 个 2 周 期 第 二 个 命 令 用 于 将 保 持 沿 移 回 到 初 始 位 置, 即 反 方 向 在 移 动 2 个 周 期 实 例 2 : 从 慢 时 钟 到 快 时 钟 的 路 径 这 种 情 况 下, 假 设 只 有 目 标 触 发 器 被 时 钟 使 能 信 号 控 制, 而 且 时 钟 使 能 信 号 在 慢 时 钟 的 上 升 沿 始 终 处 于 活 动 状 态 设 置 的 路 径 乘 数 是 3 图 4-32 : 穿 过 慢 速 到 快 速 时 钟 Send Feedback 194

195 第 4 章 : 设 计 创 建 图 4-33 : 建 立 / 保 持 检 查 的 时 序 图 慢 时 钟 到 快 时 钟 约 束 set_multicycle_path -from [get_pins REG0/C] -to [get_pins REG1/D] -setup 3 -end set_multicycle_path -from [get_pins REG0/C] -to [get_pins REG1/D] -hold 2 end -end 选 项 只 用 来 针 对 目 标 时 钟 ( 或 终 点 时 钟 ) 修 改 建 立 和 保 持 分 析 的 时 钟 沿 已 使 用 了 正 确 的 源 时 钟 沿 图 4-34 : 建 立 / 保 持 检 查 的 时 序 图 慢 时 钟 到 快 时 钟 实 施 多 周 期 约 束 以 后 实 例 3 : 从 快 时 钟 到 慢 时 钟 的 路 径 这 种 情 况 与 上 一 种 情 况 类 似 ( 从 慢 时 钟 到 快 时 钟 的 路 径 ), 区 别 在 于 仅 必 须 修 改 源 时 钟 的 边 沿 约 束 实 例 : set_multicycle_path -from [get_pins REGA/C] -to [get_pins REGB/D] -setup 3 -start set_multicycle_path -from [get_pins REGA/C] -to [get_pins REGB/D] -hold 2 -start 调 整 相 移 时 钟 之 间 路 径 的 建 立 边 沿 分 析 对 两 个 时 钟 进 行 相 移 的 主 要 原 因 是 : 放 松 从 一 个 时 钟 到 后 一 时 钟 的 设 置 路 径, 但 要 以 收 紧 另 一 方 向 的 路 径 为 代 价 这 在 I/O 接 口 上 比 较 普 遍, 用 以 调 整 器 件 接 口 处 的 时 序 Send Feedback 195

196 第 4 章 : 设 计 创 建 在 转 发 时 钟 与 源 同 步 接 口 的 数 据 之 间 创 建 90 度 的 相 位 移 动 默 认 情 况 下, 时 序 引 擎 使 用 构 成 最 悲 观 建 立 关 系 的 源 时 钟 和 目 标 时 钟 的 活 动 边 沿 当 在 目 标 时 钟 定 义 中 插 入 一 个 正 相 位 移 时, 设 置 关 系 对 应 于 相 移 而 非 周 期 加 相 移, 因 为 这 是 最 严 格 的 正 向 路 径 要 求 下 面 给 出 一 个 实 例 : Source clock waveform: 0ns, 5ns, 10ns Destination clock waveform: 2.5ns, 7.5ns, 12.5ns 图 4-35 : 相 移 时 钟 的 设 置 / 保 持 边 沿 如 果 决 定 捕 捉 沿 #2 作 为 设 置 分 析 的 有 效 捕 捉 沿, 那 么 必 须 定 义 一 个 多 周 期 路 径 约 束 如 果 两 个 相 移 时 钟 之 间 的 所 有 路 径 都 必 须 进 行 修 改, 可 直 接 在 时 钟 上 指 定 约 束 : set_multicycle_path -from [get_clocks clk] -to [get_clocks clkshift] -setup 2 图 4-36 : 相 移 时 钟 的 设 置 / 保 持 边 沿 多 周 期 技 术 参 数 后 重 要 提 示 : 这 种 情 况 下 没 必 要 采 用 额 外 的 set_multicycle_path 约 束 来 修 改 保 持 关 系, 因 为 已 经 依 照 建 立 关 系 对 其 进 行 了 正 确 建 立, 而 且 所 有 上 升 时 钟 沿 都 处 于 活 动 状 态 对 综 合 的 影 响 综 合 步 骤 支 持 set_multicycle_path 约 束 该 约 束 可 缓 解 每 个 时 钟 周 期 内 功 能 上 不 处 于 活 动 状 态 的 长 路 径, 从 而 大 幅 改 善 时 序 QoR( 仅 针 对 设 置 ) Send Feedback 196

197 第 4 章 : 设 计 创 建 对 实 现 的 影 响 就 综 合 而 言, 多 周 期 路 径 异 常 处 理 有 助 于 使 时 序 驱 动 算 法 集 中 于 真 正 的 关 键 路 径 只 有 在 布 线 过 程 中 保 持 要 求 才 非 常 重 要 如 果 建 立 关 系 通 过 set_multicycle_path 约 束 ( 而 非 相 应 的 保 持 关 系 ) 进 行 调 整, 那 么 最 差 保 持 要 求 如 果 超 过 2 或 3ns 就 变 得 难 以 满 足 这 种 情 况 会 对 设 置 裕 量 产 生 不 利 影 响, 因 为 在 修 复 保 持 违 规 时 布 线 器 插 入 了 附 加 延 迟 常 见 错 误 以 下 是 两 个 绝 对 需 要 避 免 的 典 型 错 误 : 在 多 周 期 路 径 无 法 在 每 个 时 钟 周 期 实 现 功 能 激 活 的 情 况 下 放 松 建 立 但 未 将 保 持 调 整 到 之 前 的 发 送 和 接 收 边 沿 保 持 要 求 会 变 得 非 常 高 ( 至 少 1 个 时 钟 周 期 ), 且 无 法 满 足 在 设 计 中 错 误 点 之 间 设 置 多 周 期 路 径 异 常 处 理 当 假 定 从 起 点 单 元 到 终 点 单 元 只 有 一 条 路 径 时 会 发 生 上 述 情 况 在 有 些 情 况 下 也 不 尽 然 终 点 单 元 可 以 有 多 个 数 据 输 入 引 脚, 包 括 时 钟 使 能 和 复 位 引 脚, 它 们 至 少 在 两 个 连 续 时 钟 边 沿 处 于 活 动 状 态 因 此, 赛 灵 思 建 议 指 定 终 点 引 脚 而 非 单 元 ( 或 时 钟 ) 例 如, 终 点 单 元 REGB 有 三 个 输 入 引 脚 : C EN 和 D 只 REGB/D 引 脚 需 要 由 多 周 期 路 径 异 常 处 理 进 行 约 束 (EN 引 脚 不 用 ), 因 为 在 每 个 时 钟 周 期 它 都 会 发 生 变 化 如 果 将 约 连 接 至 单 元 而 不 是 引 脚, 那 么 所 有 有 效 的 终 点 引 脚, 包 括 EN( 时 钟 使 能 ) 引 脚, 都 在 约 束 的 考 虑 范 围 内 为 安 全 起 见, 赛 灵 思 建 议 您 始 终 使 用 如 下 语 句 : set_multicycle_path -from [get_pins REGA/C] \ -to [get_pins REGB/D] -setup 3 set_multicycle_path -from [get_pins REGA/C] \ -to [get_pins REGB/D] -hold 2 创 建 模 块 级 约 束 当 开 发 多 团 队 工 程 时, 为 方 便 起 见 应 为 顶 层 设 计 的 每 个 主 要 模 块 创 建 独 立 的 约 束 文 件 这 些 模 块 在 最 终 整 合 为 一 个 或 多 个 顶 层 设 计 之 前 通 常 需 要 单 独 开 发 和 验 证 模 块 级 约 束 在 开 发 时 必 须 独 立 于 顶 层 约 束, 且 必 须 尽 可 能 多 地 具 备 通 用 性, 以 便 能 够 用 于 不 同 环 境 此 外, 它 们 必 须 不 影 响 任 何 模 块 边 界 之 外 的 逻 辑 Send Feedback 197

198 第 4 章 : 设 计 创 建 模 块 级 约 束 规 则 模 块 级 约 束 必 须 符 合 如 下 规 则 : 1. 如 果 时 钟 需 要 在 设 计 的 顶 层 创 建, 则 无 需 在 模 块 级 约 束 中 定 义 这 些 时 钟 但 可 在 模 块 内 使 用 get_clocks -of_objects 命 令 查 询 这 些 时 钟 该 命 令 可 返 回 穿 过 设 计 中 特 定 对 象 的 所 有 时 钟 例 如 : set blockclock [get_clocks -of_objects [get_ports clkin]] 如 果 某 个 时 钟 需 要 在 模 块 内 部 定 义, 那 么 它 必 须 位 于 驱 动 实 例 化 输 入 / 双 向 缓 冲 器 的 输 入 / 双 向 端 口 上, 也 可 位 于 用 来 创 建 / 变 换 时 钟 ( 除 了 自 动 由 时 序 工 具 处 理 的 MMCM/PLL 或 特 殊 缓 冲 器 ) 的 单 元 的 输 出 端 例 如 : 带 输 入 缓 冲 器 的 输 入 时 钟 时 钟 分 频 器 GT 恢 复 时 钟 2. 只 有 当 端 口 直 接 连 接 到 顶 层 端 口 并 且 I/O 缓 冲 器 在 IP 内 部 实 例 化 时, 才 指 定 输 入 和 输 出 延 迟 例 如 : 带 输 入 缓 冲 器 的 输 入 数 据 端 口 3. 切 勿 在 没 有 绑 定 到 IP 的 两 个 时 钟 之 间 定 义 时 序 异 常 处 理 4. 切 勿 用 名 称 来 指 代 时 钟, 因 为 名 称 会 根 据 顶 层 时 钟 名 称 而 相 应 变 化, 或 者 如 果 模 块 被 多 次 实 例 化 也 会 导 致 名 称 变 化 5. 如 果 模 块 可 在 相 同 的 顶 层 设 计 中 多 次 实 例 化, 则 不 添 加 布 局 约 束 将 模 块 级 约 束 读 入 顶 层 设 计 Vivado 设 计 套 件 可 提 供 一 种 作 用 范 围 机 制, 用 于 将 模 块 级 约 束 读 入 顶 层 设 计 该 机 制 基 于 current_instance 命 令 的 行 为, 即 所 有 基 于 名 称 的 查 询 只 能 返 回 包 含 在 当 前 实 例 中 的 对 象 当 读 入 模 块 级 约 束 时, 则 将 当 前 实 例 设 定 为 模 块 实 例, 这 样 只 有 属 于 该 模 块 的 对 象 才 可 以 被 约 束 但 存 在 几 个 例 外 情 况 : 时 序 时 钟 是 全 局 的, 而 且 可 从 设 计 的 意 位 置 查 询, 包 括 从 模 块 内 部 必 须 小 心 谨 慎 地 使 用 get_ clocks 命 令, 因 为 它 可 从 模 块 外 查 询 时 钟 Send Feedback 198

199 第 4 章 : 设 计 创 建 可 用 get_ports 命 令 查 询 模 块 的 端 口 根 据 模 块 实 例 在 顶 层 设 计 中 连 接 方 式 的 不 同, 返 回 的 对 象 类 型 会 有 所 差 别 : 如 果 模 块 端 口 直 接 连 接 到 顶 层 端 口, 那 么 get_ports 命 令 返 回 的 则 是 顶 层 端 口 图 4-37 : 针 对 直 接 连 接 顶 层 端 口 的 模 块 端 口 使 用 get_ports 命 令 如 果 模 块 端 口 没 有 直 接 连 接 到 顶 层 端 口, 那 么 get_ports 命 令 返 回 的 是 模 块 接 口 的 相 应 层 级 引 脚 图 4-38 : 针 对 未 直 接 连 接 顶 层 端 口 的 模 块 端 口 使 用 get_ports 命 令 所 有 提 供 约 束 的 Vivado 设 计 套 件 IP 核 都 可 采 用 这 种 范 围 机 制 如 需 了 解 更 多 信 息, 敬 请 查 看 Vivado 设 计 套 件 用 户 指 南 : 如 何 使 用 约 束 (UG903) [ 参 考 资 料 14] Send Feedback 199

200 第 4 章 : 设 计 创 建 其 它 高 级 时 序 约 束 可 设 置 其 它 一 些 时 序 约 束 以 忽 略 和 修 改 默 认 时 序 分 析 : Case 分 析 不 分 析 时 序 数 据 校 验 最 长 时 间 借 用 Case 分 析 Case 分 析 命 令 可 像 配 置 寄 存 器 那 样 在 逻 辑 中 设 定 常 数, 用 以 描 述 设 计 中 的 功 能 模 式 它 可 被 应 用 于 输 入 端 口 网 络 层 级 引 脚 或 叶 单 元 输 入 引 脚 该 常 数 值 在 逻 辑 中 传 播, 并 关 闭 永 不 活 跃 路 径 上 的 分 析 其 果 类 似 于 伪 路 径 异 常 处 理 的 工 作 方 式 最 常 见 的 范 例 是 将 多 路 复 用 器 选 择 引 脚 设 定 为 0 或 1, 以 便 只 让 两 个 多 路 复 用 器 输 入 中 的 一 个 传 播 通 过 下 面 的 实 例 可 关 闭 通 过 mux/s 和 mux/i1 引 脚 的 路 径 上 的 分 析 : set_case_analysis 0 [get_pins mux/s] 不 分 析 时 序 不 分 析 时 序 命 令 可 关 闭 时 序 数 据 库 中 的 时 序 arc, 能 完 全 阻 止 任 何 通 过 该 arc 的 分 析 可 用 report_disable_timing 命 令 报 告 关 闭 的 时 序 弧 注 意! 使 用 不 分 析 时 序 命 令 时 要 小 心 它 会 打 断 比 预 想 中 更 多 的 路 径! 数 据 校 验 set_data_check 命 令 可 设 置 设 计 中 两 个 引 脚 之 间 的 建 立 或 保 持 时 序 等 效 检 查, 常 用 来 约 束 和 报 告 异 步 接 口 该 命 令 应 为 高 级 用 户 专 用 最 长 时 间 借 用 set_max_time_borrow 命 令 能 设 置 锁 存 器 可 从 前 级 ( 锁 存 器 前 面 的 逻 辑 ) 借 取 的 最 长 时 间, 并 将 时 间 送 给 下 一 级 ( 锁 存 器 后 面 的 逻 辑 ) 通 常 不 建 议 使 用 锁 存 器, 因 为 它 们 很 难 在 硬 件 中 测 试 和 验 证 该 命 令 应 为 高 级 用 户 专 用 Send Feedback 200

201 第 4 章 : 设 计 创 建 定 义 物 理 约 束 物 理 约 束 可 用 于 控 制 布 局 规 划 特 定 布 局 I/O 分 配 布 线 器 以 及 类 似 功 能 应 确 保 每 个 引 脚 都 具 有 特 定 I/O 接 口 和 标 准 以 下 用 户 指 南 涵 盖 物 理 约 束 : Vivado 设 计 套 件 用 户 指 南 : 如 何 使 用 约 束 (UG903) [ 参 考 资 料 14]( 锁 定 布 局 与 布 线, 包 括 与 宏 命 令 相 关 的 布 局 ) Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 与 收 敛 技 术 (UG906)( 布 局 规 划 )[ 参 考 资 料 17] Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)( 配 置 )[ 参 考 资 料 20] Send Feedback 201

202 第 5 章 实 现 实 现 简 介 既 然 已 经 选 定 了 器 件, 选 择 和 配 置 了 IP, 且 编 写 了 RTL 和 约 束 条 件, 那 么 下 一 步 进 入 实 现 阶 段 实 现 过 程 将 生 成 用 于 对 器 件 进 行 编 程 的 比 特 流 如 第 1 章 引 言 所 述, 实 现 进 程 可 能 包 含 一 些 迭 代 循 环 本 章 将 介 绍 各 个 实 现 步 骤, 并 着 重 强 调 需 特 别 注 意 的 事 项, 同 时 给 出 识 别 和 消 除 特 定 瓶 颈 的 要 点 和 技 巧 综 合 综 合 步 骤 接 受 RTL 和 时 序 约 束, 并 生 成 在 功 能 上 等 同 于 RTL 的 优 化 网 表 通 常 情 况 下, 综 合 工 具 可 接 受 任 何 合 法 的 RTL 并 为 其 生 成 逻 辑 下 面 是 在 综 合 设 计 时 需 要 考 虑 的 几 点 注 意 事 项 如 需 了 解 有 关 综 合 的 更 多 信 息, 敬 请 参 阅 下 列 资 源 : Vivado 设 计 套 件 用 户 指 南 : 综 合 (UG901)[ 参 考 资 料 12] Vivado 设 计 套 件 快 速 入 门 视 频 : 设 计 流 程 简 介 设 计 迁 移 过 程 中 的 综 合 属 性 DONT_TOUCH 或 MAX_FANOUT 等 综 合 属 性 会 显 著 影 响 结 果 质 量 (QoR) 设 置 这 些 属 性 的 时 候 一 定 要 谨 慎 如 果 您 的 工 程 最 初 就 是 采 用 不 同 的 综 合 工 具 运 行, 而 现 在 要 将 该 工 程 迁 移 到 Vivado 设 计 套 件 中 进 行 综 合, 那 么 任 何 已 有 属 性 的 需 求 情 况 您 都 需 要 注 意 应 移 除 为 控 制 上 一 工 具 的 优 化 行 为 而 添 加 的 所 有 属 性 在 最 初 创 建 RTL 时 一 般 不 用 KEEP DONT_TOUCH 和 MAX_FANOUT 等 属 性 它 们 通 常 用 来 调 整 综 合 工 具 以 使 其 达 到 最 佳 性 能 由 于 所 有 的 综 合 工 具 在 优 化 上 多 少 有 些 不 同, 因 而 在 向 新 工 具 迁 移 时 使 用 这 类 属 性 会 对 QoR 造 成 不 利 影 响 Send Feedback 202

203 第 5 章 : 实 现 建 议 : 从 新 的 RTL 开 始, 然 后 再 专 门 针 对 新 工 具 或 新 的 要 求 应 用 属 性 准 确 的 时 序 约 束 由 于 Vivado 设 计 套 件 综 合 工 具 采 用 时 序 驱 动, 因 此 要 确 保 时 序 约 束 准 确 无 误 参 阅 第 229 页 的 设 置 设 计 基 线 如 果 设 计 需 要 时 序 异 常 约 束, 也 必 须 提 供 工 具 自 动 将 约 束 从 XDC 文 件 发 送 到 综 合, 以 执 行 由 时 序 驱 动 的 运 行 过 程 如 果 发 送 到 综 合 与 发 送 到 布 局 布 线 的 约 束 不 相 同, 那 么 综 合 与 布 局 布 线 就 会 采 用 不 同 路 径 若 出 现 这 种 情 况, 就 很 难 实 现 时 序 收 敛 检 查 HDL 代 码 如 果 在 综 合 后 不 能 达 到 理 想 的 QoR, 则 应 针 对 以 下 内 容 检 查 您 的 HDL 代 码 和 调 用 的 逻 辑 : 评 估 信 号 ( 例 如 建 立 与 复 位 ) 以 确 定 信 号 是 否 有 必 要 如 果 确 实 需 要, 应 优 先 使 用 同 步 信 号 ( 高 电 平 有 效 ) DSP 和 Block RAM 具 有 内 部 寄 存 器 可 使 用 这 些 寄 存 器 要 理 解 HDL 代 码 如 何 影 响 调 用 和 QoR, 敬 请 参 见 第 4 章 设 计 创 建 调 试 综 合 后 的 设 计 如 果 综 合 后 的 网 表 没 有 表 现 出 所 期 望 的 行 为, 我 们 需 要 探 究 问 题 的 根 源 使 用 细 化 设 计 细 化 设 计 是 分 析 或 调 试 设 计 的 第 一 步, 是 RTL 代 码 本 身 的 直 接 表 示 用 户 使 用 细 化 设 计 视 图, 就 可 在 运 行 综 合 之 前 对 设 计 进 行 调 试, 从 而 在 设 计 流 程 早 期 发 现 RTL 代 码 的 问 题 例 如, 可 考 虑 图 5-1 所 示 的 细 化 设 计 视 图 Send Feedback 203

204 第 5 章 : 实 现 图 5-1 : 细 化 设 计 视 图 实 例 显 然,out2_reg 由 rst1 信 号 使 能 这 最 有 可 能 存 在 编 码 错 误 该 视 图 的 交 叉 追 踪 功 能 可 直 接 为 您 引 出 生 成 这 个 逻 辑 的 RTL 此 外, 还 可 将 细 化 视 图 (Elaborated View) 用 于 改 善 无 法 满 足 时 序 要 求 的 设 计 在 对 设 计 进 行 综 合 并 找 到 关 键 路 径 后, 在 细 化 视 图 中 可 搜 索 相 同 的 路 径 这 有 助 于 发 现 能 改 进 设 计 时 序 的 RTL 更 改 在 视 图 中 很 容 易 查 看 到 大 型 的 MUX 结 构 或 并 非 用 流 水 线 实 现 的 DSP 或 Block RAM 结 构 要 打 开 细 化 视 图, 应 点 击 流 程 导 航 器 中 的 打 开 细 化 设 计 RTL 分 析 打 开 细 化 设 计 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 系 统 级 设 计 输 入 (UG895) 中 的 本 链 接 使 用 综 合 的 设 计 视 图 此 外, 与 细 化 视 图 一 样, 综 合 设 计 视 图 (Synthesized Design View) 在 调 试 综 合 的 设 计 方 面 也 非 常 实 用 要 想 在 设 计 完 成 综 合 后 打 开 综 合 设 计 视 图, 应 点 击 流 程 导 航 器 中 的 打 开 综 合 设 计 (Open Synthesized Design) Send Feedback 204

205 第 5 章 : 实 现 该 视 图 基 于 用 来 创 建 网 表 的 赛 灵 思 原 语 之 上 综 合 的 设 计 视 图 可 用 来 查 看 RTL 如 何 被 转 换 为 原 语 视 图 将 列 出 原 语 的 所 有 属 性 考 虑 使 用 下 面 的 实 例 : 图 5-2 : 综 合 设 计 视 图 实 例 通 过 查 看 out1_reg( 图 的 左 下 侧 ) 的 属 性, 可 以 看 到 DSP48E1 正 在 使 用 名 为 MREG 和 PREG 的 嵌 入 式 流 水 线 寄 存 器 这 有 助 于 确 定 如 何 用 流 水 线 实 现 您 的 设 计 此 外, 综 合 后 的 设 计 也 是 用 户 在 分 析 设 计 的 时 序 时 应 首 先 检 查 的 地 方 理 解 综 合 后 时 序 非 常 重 要, 只 有 这 样 才 能 在 运 行 实 现 前 了 解 任 何 潜 在 的 时 序 瓶 颈 打 开 设 计 后, 即 可 运 行 Tools > Timing > Report Timing Summary, 以 查 看 时 序 信 息 该 报 告 可 提 供 很 多 有 用 的 信 息, 例 如 : 各 时 钟 和 时 钟 之 间 路 径 的 汇 总 未 约 束 的 路 径 或 I/O 未 提 供 时 序 约 束 的 时 钟 Send Feedback 205

206 第 5 章 : 实 现 您 还 可 在 Tcl 控 制 面 板 中 检 查 时 序 约 束, 以 确 保 已 接 受 约 束 赛 灵 思 强 烈 建 议 您 始 终 这 样 操 作 如 果 未 接 受 约 束, 就 无 法 保 证 Vivado 工 具 使 用 正 确 的 路 径 可 使 用 report_timing 命 令 检 查 所 需 路 径, 查 看 用 户 的 约 束 是 否 已 应 用 于 该 路 径 例 如, 如 果 用 户 想 要 应 用 : set_false_path -from [get_pins inst1/pin1] -through [get_cells inst2 在 应 用 上 述 约 束 后, 应 运 行 下 列 命 令 以 确 认 路 径 时 序 裕 量 显 示 为 无 穷 report_timing -from [get_pins inst1/pin1] -through [get_cells inst2] 在 综 合 的 设 计 中, 层 级 查 看 器 可 显 示 不 同 层 级 的 视 图 这 在 调 试 有 可 能 存 在 面 积 问 题 的 设 计 的 过 程 中 非 常 有 用 不 同 模 块 可 根 据 内 部 所 包 含 的 基 元 数 量 设 定 尺 寸 点 击 网 表 框 中 的 每 一 个 层 级, 然 后 查 看 网 表 属 性 框 中 的 统 计 选 项 卡, 您 可 以 看 到 设 计 中 基 元 的 具 体 数 量 和 类 型 这 些 数 字 代 表 该 层 级 和 下 面 所 有 层 级 的 基 元 数 量 通 过 使 用 该 视 图 可 轻 松 看 到 何 处 出 现 了 面 积 不 足 (area blow up) 的 问 题 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906) 中 的 本 链 接 综 合 属 性 综 合 属 性 允 许 您 以 特 定 方 式 控 制 逻 辑 推 理 尽 管 综 合 算 法 在 设 定 上 是 为 最 大 数 量 的 设 计 提 供 最 佳 结 果, 但 经 常 会 存 在 一 些 具 有 不 同 要 求 的 设 计 属 性 通 常 用 来 微 调 工 具, 以 便 根 据 QoR 目 的 让 设 计 略 有 不 同 例 如 : MAX_FANOUT 属 性 能 增 强 特 定 网 络 上 的 最 大 扇 出 RAM_STYLE 属 性 能 强 制 RAM 以 特 定 方 式 来 实 现 赛 灵 思 建 议 在 不 使 用 任 何 属 性 的 情 况 下 运 行 工 具, 实 现 初 步 运 行 然 后 再 根 据 具 体 的 设 计 和 给 出 的 结 果 添 加 综 合 属 性, 以 获 得 所 需 的 结 果 当 把 多 个 属 性 施 加 在 单 个 信 号 上 或 将 不 同 属 性 施 加 于 相 互 关 联 的 信 号 上 时 需 要 非 常 谨 慎 工 具 会 尝 试 执 行 每 个 属 性, 但 若 这 些 属 性 发 生 相 互 冲 突 的 行 为 时 ( 例 如 KEEP_HIERARCHY 和 MAX_ FANOU) 就 无 法 成 功 执 行 Send Feedback 206

207 第 5 章 : 实 现 通 常, 当 属 性 能 被 工 具 识 别 时 ( 例 如 KEEP), 该 属 性 就 会 被 工 具 使 用, 同 时 可 在 网 表 中 看 到 其 效 果 但 是, 属 性 本 身 将 不 会 出 现 在 输 出 网 表 中 当 属 性 被 工 具 识 别 时, 即 假 定 为 将 在 流 程 的 后 期 使 用 该 属 性 这 种 情 况 下, 会 将 属 性 和 值 传 递 给 输 出 网 表 如 需 了 解 综 合 所 支 持 的 属 性 信 息, 敬 请 查 看 Vivado 设 计 套 件 用 户 指 南 : 综 合 (UG901) [ 参 考 资 料 12] 在 此 需 要 特 别 提 到 几 个 属 性, 因 为 它 们 有 时 会 导 致 一 些 需 要 引 起 注 意 的 问 题 : KEEP 和 DONT_TOUCH MAX_FANOUT KEEP 和 DONT_TOUCH KEEP 和 DONT_TOUCH 均 是 非 常 有 价 值 的 设 计 调 试 属 性 当 对 象 被 赋 予 这 两 种 属 性 时, 工 具 就 不 会 优 化 该 对 象 KEEP 由 综 合 工 具 使 用, 并 且 不 作 为 网 表 中 传 递 的 属 性 可 将 KEEP 用 于 对 综 合 工 具 的 行 为 进 行 微 调, 以 保 留 特 定 信 号, 也 就 是 说, 在 综 合 期 间 关 闭 针 对 特 定 信 号 的 特 定 优 化 功 能 DONT_TOUCH 由 综 合 工 具 使 用, 然 后 再 被 传 递 给 布 局 布 线 工 具, 以 便 永 远 不 会 被 优 化 另 外, 将 DONT_TOUCH 放 置 在 层 级 上 与 放 在 信 号 上 也 存 在 差 别 如 果 将 该 属 性 放 置 在 信 号 上, 则 保 持 该 信 号 ; 如 果 将 该 属 性 放 置 在 某 个 层 级 上, 那 么 工 具 不 会 接 触 该 层 级 的 边 界, 而 且 层 级 中 不 会 发 生 常 数 传 播, 但 该 层 级 中 的 优 化 仍 然 继 续 使 用 这 些 属 性 时 需 要 倍 加 小 心 接 收 RAM 输 出 的 寄 存 器 上 的 KEEP 属 性 能 阻 止 该 寄 存 器 并 入 RAM, 从 而 阻 止 Block RAM 的 调 用 不 要 在 以 上 水 平 中 的 驱 动 3 态 输 出 或 双 向 信 号 的 层 级 上 使 用 这 些 属 性 这 一 点 非 常 重 要! 如 果 驱 动 信 号 和 3 态 条 件 处 于 这 个 层 级 中, 那 么 IOBUF 将 无 法 被 调 用, 因 为 为 了 实 现 这 一 点, 工 具 为 了 创 建 IOBUF 必 须 改 变 层 级 MAX_FANOUT MAX_FANOUT 强 制 综 合 复 制 逻 辑, 以 满 足 扇 出 限 值 该 工 具 能 复 制 逻 辑, 但 不 能 复 制 输 入 或 黑 Send Feedback 207

208 第 5 章 : 实 现 盒 因 此, 如 果 将 MAX_FANOUT 属 性 放 置 在 由 设 计 方 案 的 直 接 输 入 进 行 驱 动 的 信 号, 那 么 工 具 将 无 法 处 理 该 约 束 要 注 意 分 析 放 置 了 MAX_FANOUT 的 信 号 如 果 MAX_FANOUT 所 在 信 号 是 由 具 有 DONT_TOUCH 的 寄 存 器 驱 动, 或 者 当 该 层 级 上 含 有 DONT_TOUCH 属 性 时,MAX_FANOUT 驱 动 位 于 不 同 层 级 的 信 号, 那 么 MAX_FANOUT 属 性 将 无 法 执 行 建 议 : 在 综 合 时 有 所 保 留 地 使 用 MAX_FANOUT Vivado 工 具 中 的 phys_opt_design 命 令 对 设 计 的 布 局 有 更 好 的 理 解, 且 复 制 工 作 做 得 比 综 合 要 好 很 多 如 果 需 要 特 定 的 扇 出, 那 么 花 费 时 间 和 精 力 对 额 外 寄 存 器 进 行 手 动 编 码 往 往 都 是 值 得 的 自 下 而 上 流 程 通 常 需 要 将 预 先 编 译 的 较 低 层 层 级 导 入 到 Vivado 工 具 中 作 为 自 下 而 上 流 程 自 下 而 上 流 程 可 实 现 更 快 的 运 行 速 度, 因 为 综 合 不 需 要 每 次 都 对 这 些 模 块 进 行 编 译 和 映 射 另 一 方 面, 如 果 不 支 持 综 合 进 行 跨 边 界 优 化,QoR 会 降 低 创 建 更 低 层 的 网 表 要 建 立 自 下 而 上 流 程, 首 先 需 要 创 建 更 低 层 的 网 表 为 此, 首 先 正 常 建 立 工 程, 再 把 较 低 层 的 层 级 指 定 为 设 计 的 顶 层, 同 时 根 据 层 级 部 分 相 应 指 定 约 束 文 件 在 运 行 综 合 之 前 : 1. 打 开 Synthesis Settings 2. 在 More Options 行 中 输 入 : -mode out_of_context 这 会 告 诉 综 合 不 要 插 入 任 何 I/O 缓 冲 器 这 一 步 很 有 必 要, 因 为 流 程 后 期 当 I/O 缓 冲 器 插 到 设 计 剩 余 部 分 时, 如 果 存 在 没 有 接 触 设 计 pad 的 IBUF 或 OBUF 组 件, 工 具 将 出 现 错 误 注 意! 检 查 设 计 中 是 否 存 在 inout 或 输 出 三 态 由 于 IOBUF 或 OBUFT 是 赛 灵 思 库 中 唯 一 能 处 理 3 态 的 组 件, 因 此 关 闭 I/O 插 入 会 导 致 错 误 发 生 如 果 低 层 网 表 中 需 要 inout 或 3 态, 应 在 RTL 中 对 IOBUF 或 OBUFT 组 件 实 例 化 即 使 打 开 out_ of_context 模 式, 合 工 具 也 不 会 移 除 实 例 化 的 I/O 缓 冲 器 Send Feedback 208

209 第 5 章 : 实 现 提 示 :out_of_context 是 Vivado 设 计 套 件 的 模 式 选 项 设 置 其 他 综 合 工 具 也 都 支 持 此 流 程, 但 采 用 不 同 方 式 如 需 了 解 其 他 工 具 如 何 执 行 该 功 能, 敬 请 参 阅 第 三 方 综 合 工 具 文 档 运 行 综 合 后 创 建.edf 文 件, 用 作 该 部 分 设 计 的 网 表 : 1. 打 开 综 合 后 的 设 计 2. 在 TCL 控 制 台 中 输 入 : write_edif<name>.edf 当 使 用 较 低 层 网 表 时 运 行 顶 层 设 计 另 一 方 面, 当 运 行 顶 层 设 计 并 实 例 化 较 低 层 网 表 ( 通 常 指 的 是 黑 盒 ) 时, 您 必 须 执 行 如 下 操 作 首 先, 网 表 必 须 实 例 化 实 例 化 的 方 法 对 于 VHDL 和 Verilog 有 所 不 同 两 种 情 况 下, 都 必 须 向 综 合 工 具 描 述 较 低 层 端 口 对 于 VHDL, 采 用 组 件 声 明 来 描 述 黑 盒 component<name> port (in1, in2 : in std_logic; out1 : out std_logic); 由 于 Verilog 不 具 备 VHDL 所 具 有 的 等 效 元 件, 因 此 要 使 用 封 装 文 件 用 于 向 工 具 描 述 端 口 该 封 装 文 件 看 起 来 像 普 通 的 Verilog, 但 其 只 含 有 端 口 列 表 module<name> (in1, in2, out1); input in1, in2; output out1; endmodule 两 种 情 况 下 都 要 确 保 端 口 定 义 正 确 如 果 不 匹 配, 当 在 综 合 后 插 入 低 层 网 表 时 候 就 会 出 现 错 误 组 装 设 计 既 然 低 层 网 表 已 创 建, 并 且 顶 层 设 计 在 正 确 实 例 化 网 表, 就 可 以 像 任 何 其 他 源 文 件 一 样 将 低 层 网 表 添 加 到 Vivado 设 计 套 件 工 程 中 综 合 在 顶 层 设 计 运 行 完 成 后, 工 具 就 会 将 低 层 网 表 插 入 到 流 程 中 然 后, 布 局 布 线 正 常 执 行 如 果 网 表 中 有 低 层 IOBUF, 必 须 告 诉 综 合 工 具 不 要 向 有 问 题 的 黑 盒 引 脚 插 入 任 何 IOUBF 您 需 要 指 定 BUFER_TYPE 属 性 以 防 在 综 合 中 向 特 定 端 口 插 入 IOBUF Send Feedback 209

210 第 5 章 : 实 现 其 他 综 合 工 具 也 支 持 自 下 而 上 流 程 如 需 了 解 如 何 利 用 第 三 方 综 合 工 具 执 行 该 功 能, 敬 请 参 阅 相 应 工 具 的 技 术 文 档 综 合 后 的 步 骤 确 保 综 合 过 程 中 您 已 获 得 网 表 的 质 量, 以 便 其 不 会 在 下 游 造 成 问 题 在 继 续 执 行 实 现 流 程 的 剩 余 步 骤 之 前, 应 检 查 如 下 重 要 内 容 : 检 查 和 清 理 DRC 检 查 综 合 日 志 检 查 时 序 约 束 满 足 综 合 后 的 时 序 要 求 检 查 和 清 理 DRC report_drc 命 令 运 行 设 计 规 则 检 查 (DRC) 以 寻 找 常 见 设 计 问 题 和 错 误 需 要 进 行 多 重 规 则 检 查 该 命 令 的 默 认 规 则 检 查 如 下 : 检 查 与 综 合 后 与 网 表 有 关 的 DRC 检 查 I/O BUFG 和 其 他 特 定 的 放 置 需 求 对 属 性 和 MGT IODELAY MMCM PLL 的 连 线 以 及 其 他 基 元 进 行 基 本 检 查 除 了 运 行 默 认 规 则 检 查 外, 还 需 要 运 行 methodology_checks 和 timing_checks 规 则 检 查 建 议 : 设 计 过 程 中 应 尽 早 检 查 和 纠 正 DRC 违 规, 以 避 免 在 实 现 流 程 的 后 期 出 现 时 序 或 与 逻 辑 相 关 的 问 题 确 保 运 行 方 法 规 则 检 查 ( 参 见 第 214 页 的 运 行 方 法 DRC ) 检 查 综 合 日 志 必 须 检 查 综 合 日 志 文 件, 并 确 认 工 具 给 出 的 所 有 信 息 在 设 计 内 容 上 与 预 期 相 匹 配 应 特 别 注 意 重 要 警 告 (Critical Warnings) 和 警 告 (Warnings) 在 大 多 数 情 况 下, 需 要 清 除 Critical Warnings 以 获 得 可 靠 的 综 合 结 果 注 意! 如 果 一 条 消 息 的 显 示 次 数 超 过 100 次, 该 工 具 只 会 将 显 示 的 头 100 次 写 入 综 合 日 志 文 件 可 以 使 用 Tcl 命 令 set_parammessaging.defaultlimit 来 修 改 数 值 为 100 的 限 值 Send Feedback 210

211 第 5 章 : 实 现 检 查 时 序 约 束 必 须 提 供 干 净 的 时 序 约 束, 并 在 适 当 情 况 下 提 供 时 序 例 外 处 理 不 好 的 约 束 会 导 致 较 长 的 运 行 时 间 性 能 问 题 和 硬 件 故 障 建 议 : 检 查 所 有 与 时 序 约 束 有 关 告 知 时 序 未 被 加 载 或 正 确 应 用 的 Critical Warning 和 Warning 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 161 页 的 创 建 约 束 满 足 综 合 后 的 时 序 要 求 下 面 几 节 将 介 绍 如 何 满 足 综 合 后 的 时 序 要 求 : 违 规 的 相 关 指 南 处 理 高 的 逻 辑 级 数 检 查 利 用 率 检 查 时 钟 树 违 规 的 相 关 指 南 重 要 提 示 : 在 继 续 流 程 之 前 分 析 综 合 后 的 时 序, 以 识 别 必 须 解 决 的 主 要 设 计 问 题 HDL 变 化 对 QoR 的 影 响 最 大 因 此, 最 好 在 实 现 之 前 解 决 这 些 问 题, 以 获 得 更 快 的 时 序 收 敛 在 分 析 时 序 路 径 时 应 特 别 注 意 以 下 几 点 : 最 频 繁 的 问 题 点, 即 在 最 差 故 障 时 序 路 径 中 出 现 次 数 最 多 的 单 元 或 网 络 由 未 寄 存 的 Block RAM 提 供 的 路 径 由 SRL 提 供 的 路 径 包 含 未 寄 存 的 级 联 DSP 模 块 的 路 径 具 有 逻 辑 级 数 大 路 径 大 扇 出 路 径 如 需 了 解 更 多 信 息, 请 参 加 第 227 页 的 时 序 收 敛 Send Feedback 211

212 第 5 章 : 实 现 处 理 高 逻 辑 级 数 识 别 长 逻 辑 路 径 有 助 于 诊 断 较 大 的 QOR 挑 战 综 合 后 预 计 的 网 络 延 迟 接 近 于 最 佳 布 置 要 想 评 估 具 有 高 逻 辑 级 数 延 迟 的 路 径 是 否 满 足 时 序 要 求, 您 可 以 生 成 无 网 络 延 迟 的 时 序 报 告 如 果 路 径 仍 违 反 无 网 络 延 迟 的 时 序, 那 么 在 这 些 路 径 上 就 无 法 实 现 时 序 收 敛 如 需 了 解 更 多 信 息, 请 参 加 第 227 页 的 时 序 收 敛 检 查 利 用 率 分 别 检 查 LUT FF RAMB 和 DSP 组 件 的 利 用 率 十 分 重 要 如 果 RAMB 利 用 率 比 较 高, 那 么 LUT/ FF 利 用 率 较 低 的 设 计 仍 可 能 出 现 布 局 难 题 report_utilization 命 令 生 成 涵 盖 所 有 设 计 对 象 章 节 的 全 面 利 用 率 报 告 检 查 时 钟 树 本 节 将 讨 论 如 何 查 看 时 钟 树, 包 括 内 容 如 下 : 时 钟 缓 冲 器 利 用 率 时 钟 树 拓 扑 时 钟 缓 冲 器 的 使 用 report_clock_utilization 命 令 提 供 关 于 时 钟 原 语 使 用 的 详 细 信 息 应 观 察 架 构 时 钟 规 则 以 避 免 下 游 出 现 布 局 问 题 例 如,BUFH 只 能 扇 出 到 其 时 钟 区 域 内 的 负 载 中 区 域 时 钟 缓 冲 器 的 无 效 布 局 约 束 或 非 常 高 的 扇 出 会 导 致 布 局 器 出 现 问 题 对 于 时 钟 缓 冲 器 利 用 率 很 高 的 设 计, 有 必 要 锁 定 时 钟 生 成 器 以 及 一 些 区 域 时 钟 缓 冲 器, 以 辅 助 完 成 布 局 任 务 有 些 接 口 需 要 非 常 严 格 的 时 序 关 系, 因 此 有 时 可 能 要 为 需 要 严 格 时 序 关 系 的 信 号 锁 定 特 定 资 源, 例 如, 源 同 步 接 口 通 常, 作 为 设 计 的 出 发 点, 只 需 锁 定 I/O 即 可, 除 非 存 在 以 上 引 用 的 特 殊 原 因 如 需 了 解 有 关 建 议 布 局 增 益 的 更 多 信 息, 请 参 阅 第 227 页 的 时 序 收 敛 时 钟 树 拓 扑 运 行 report_clock_networks 命 令, 以 便 在 详 树 形 视 图 中 显 示 时 钟 网 络 通 过 某 种 方 式 使 用 时 钟 树 以 最 大 限 度 地 降 低 Skew Send Feedback 212

213 第 5 章 : 实 现 对 于 PLL 和 MMCM 的 输 出, 使 用 相 同 的 时 钟 缓 冲 器 类 型 以 最 大 限 度 地 降 低 Skew 寻 找 可 引 起 额 外 延 迟 或 Skew( 或 二 者 皆 有 ) 的 非 级 联 BUFG 元 素 实 现 设 计 Vivado 设 计 套 件 实 现 过 程 包 括 将 网 表 布 局 布 线 到 FPGA 器 件 资 源 所 需 的 全 部 步 骤, 同 时 满 足 设 计 的 逻 辑 物 理 和 时 序 约 束 如 需 了 解 有 关 实 现 的 更 多 信 息, 敬 请 参 考 下 列 资 源 : Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904)[ 参 考 资 料 15] Vivado 设 计 套 件 快 速 入 门 视 频 : 设 计 流 程 简 介 工 程 模 式 与 非 工 程 模 式 的 对 比 实 现 过 程 可 在 工 程 模 式 或 非 工 程 模 式 中 完 成 工 程 模 式 可 提 供 运 行 管 理 文 件 集 管 理 报 告 生 成 和 交 叉 追 踪 等 工 程 基 础 架 构 非 工 程 模 式 则 提 供 简 便 的 集 成, 而 且 由 Tcl 脚 本 驱 动 ;Tcl 脚 本 必 须 在 整 个 流 程 中 显 式 调 用 所 需 的 全 部 报 告 如 需 了 解 有 关 这 些 模 式 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 设 计 流 程 简 介 (UG892) 中 的 本 链 接 工 程 模 式 工 程 模 式 的 基 础 是 运 行 您 可 以 创 建 和 推 行 采 用 不 同 综 合 结 果 和 设 计 约 束 ( 或 两 者 同 时 使 用 ) 的 最 新 实 现 运 行 方 式, 以 增 大 实 现 解 决 方 案 的 空 间, 并 找 到 最 佳 结 果 在 工 程 模 式 下,Vivado IDE 可 支 持 您 在 单 个 设 计 上 运 行 多 种 策 略 ; 定 制 化 实 现 策 略 以 根 据 您 的 设 计 要 求 调 整 算 法 ; 并 可 保 存 定 制 实 现 策 略, 以 便 用 于 其 它 工 程 一 旦 为 您 的 设 计 找 到 最 佳 策 略 后, 就 可 以 在 具 有 类 似 特 性 的 未 来 设 计 中 使 用 该 策 略 非 工 程 模 式 在 非 工 程 模 式 下, 使 用 定 义 设 计 流 程 的 Tcl 脚 本 运 行 实 现 过 程 推 荐 流 程 下 面 给 出 了 最 少 的 令 列 表, 这 些 命 令 在 读 入 设 计 后 必 须 执 行, 用 以 生 成 有 效 的 比 特 流 : link_design Send Feedback 213

214 第 5 章 : 实 现 opt_design place_design route_design report_drc report_timing_summary write_bitstream 时 序 约 束 应 该 完 整 并 且 正 确 应 以 正 时 序 裕 量 满 足 这 些 约 束, 以 确 保 设 计 可 在 硬 件 中 工 作 反 复 循 环 的 流 程 在 非 工 程 模 式 下, 您 可 以 用 不 同 选 项 在 各 种 优 化 命 令 之 间 设 计 反 复 例 如, 您 可 在 route_design 之 后 运 行 place_design -post_place_opt, 以 便 对 个 别 关 键 路 径 不 满 足 时 序 要 求 的 布 线 后 的 设 计 进 行 布 局 后 优 化 布 局 器 使 用 实 际 时 序 延 迟 执 行 布 局 后 优 化, 这 样 可 能 在 最 终 route_design 步 骤 之 后 在 关 键 路 径 形 成 正 时 序 裕 量 用 户 需 按 此 步 骤 再 次 运 行 route_design 设 计 反 复 运 行 phys_opt_design 可 改 进 时 序 phys_opt_design 命 令 能 够 优 化 顶 层 时 序 问 题 路 径 通 过 设 计 反 复 运 行 phys_opt_design, 较 低 层 的 时 序 问 题 也 会 因 优 化 而 有 所 改 善 调 用 phys_opt_ design 会 自 动 在 内 部 执 行 route_design 运 行 方 法 DRC 鉴 于 方 法 的 重 要 性,Vivado 工 具 可 提 供 一 套 设 计 规 则 检 查 (DRC) 功 能, 专 门 用 于 检 查 方 法 合 规 性 根 据 设 计 流 程 所 处 的 阶 段 应 使 用 不 同 类 型 的 DRC RTL 的 Lint 风 格 检 查 运 行 在 细 化 的 RTL 设 计 上 基 于 网 表 的 逻 辑 和 约 束 检 查 运 行 在 综 合 后 的 设 计 上 实 现 和 时 序 检 查 运 行 在 实 现 后 的 设 计 上 如 果 用 Tcl 提 示 符 运 行 上 述 检 查, 打 开 待 验 证 设 计, 然 后 输 入 下 列 Tl 命 令 : report_drc -ruledeck methodology_checks Send Feedback 214

215 第 5 章 : 实 现 如 需 从 IDE 中 运 行 上 述 检 查, 打 开 待 验 证 设 计, 并 运 行 ReportDRC 命 令 当 出 现 对 话 框 后, 选 择 方 法 检 查 规 则 选 项, 如 图 5-3 所 示 图 5-3 : 报 告 DRC 对 话 框 Send Feedback 215

216 第 5 章 : 实 现 违 规 情 况 ( 如 有 ) 会 列 示 在 DRC 窗 口 中, 如 图 5-4 所 示 图 5-4 :DRC 违 规 如 需 了 解 有 关 运 行 设 计 方 法 DRC 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 系 统 级 设 计 录 入 (UG895)[ 参 考 资 料 8] 和 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906)[ 参 考 资 料 17] 策 略 策 略 是 指 用 于 控 制 工 程 模 式 中 运 行 行 为 的 经 定 义 的 Vivado 设 计 套 件 实 现 选 项 集 合 策 略 行 为 则 由 施 加 于 单 个 实 现 命 令 上 的 指 令 来 控 制 如 需 了 解 更 多 信 息, 敬 请 查 阅 217 页 的 指 令 建 议 : 首 先 尝 试 使 用 Vivado 设 计 套 件 实 现 的 默 认 策 略 这 样 可 在 运 行 时 间 和 设 计 性 能 之 间 实 现 很 好 的 折 中 策 略 针 对 特 定 的 工 具 和 版 本 每 个 Vivado 设 计 套 件 的 主 要 版 本 都 包 含 针 对 特 定 版 本 的 策 略 策 略 根 据 其 用 途 分 为 多 个 不 同 类 别, 并 以 类 别 名 称 作 为 前 缀 参 见 表 5-1 : 策 略 类 别 性 能 策 略 的 目 的 是 提 高 设 计 性 能, 而 代 价 则 是 运 行 时 间 加 长 例 如,Performance_Explore 策 略 有 助 于 改 进 多 种 设 计 方 案 结 果, 但 运 行 时 间 会 显 著 延 长 表 5-1 : 策 略 类 别 类 别 目 的 性 能 改 善 设 计 性 能 面 积 减 少 LUT 数 量 Send Feedback 216

217 第 5 章 : 实 现 表 5-1 : 策 略 类 别 类 别 目 的 功 耗 添 加 完 整 的 功 率 优 化 流 程 修 改 流 程 步 骤 拥 塞 减 少 拥 塞 和 相 关 问 题 重 要 提 示 : 包 含 SLL 或 SLR 的 策 略 能 提 供 针 对 SSI 器 件 的 附 加 控 制 指 令 指 令 为 下 列 的 实 现 命 令 提 供 不 同 行 为 模 式 : opt_design place_design phys_opt_design route_design 首 先 使 用 默 认 指 令 在 设 计 快 完 成 时 再 使 用 其 他 指 令, 以 探 索 设 计 的 解 决 方 案 空 间 一 次 只 能 指 定 一 条 指 令 指 令 选 项 与 其 他 选 项 不 相 容 如 需 了 解 有 关 策 略 和 指 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 中 间 步 骤 和 检 查 点 Vivado 设 计 套 件 采 用 物 理 设 计 数 据 库 来 存 储 布 局 布 线 信 息 设 计 检 查 点 文 件 (.dcp) 可 用 来 存 储 (write_checkpoint 命 令 ) 和 回 读 (read_checkpoint 命 令 ) 设 计 流 程 关 键 点 的 物 理 数 据 库 检 查 点 是 流 程 中 特 定 点 的 设 计 快 照 设 计 检 查 点 文 件 包 含 以 下 内 容 : 当 前 的 网 表, 包 括 实 现 程 中 所 做 的 任 何 优 化 设 计 约 束 实 现 结 果 可 利 用 Tcl 命 令 在 设 计 流 程 剩 余 部 分 运 行 检 查 点 设 计 但 无 法 通 过 新 设 计 源 对 其 进 行 修 改 Send Feedback 217

218 第 5 章 : 实 现 检 查 点 使 用 方 面 的 一 些 常 见 实 例 如 下 : 保 存 结 果, 以 便 返 回 上 一 级 并 在 该 流 程 部 分 执 行 进 一 步 分 析 使 用 多 个 指 令 尝 试 运 行 place_design, 并 保 存 每 次 运 行 的 检 查 点 这 样 可 以 选 出 具 有 最 佳 时 序 结 果 的 place_design 检 查 点, 以 便 用 于 后 续 的 实 现 步 骤 增 量 流 程 当 此 前 已 实 现 的 设 计 与 当 前 设 计 存 在 一 定 相 似 度 时,Vivado 设 计 套 件 的 增 量 布 局 布 线 功 能 就 会 重 新 利 用 已 有 的 布 局 布 线 数 据 来 缩 短 实 现 运 行 时 间 并 生 成 更 多 可 预 测 的 结 果 当 设 计 有 95% 以 上 的 相 似 单 元 网 络 和 端 口 时, 增 量 式 布 局 布 线 的 运 行 时 间 将 比 一 般 布 局 布 线 平 均 缩 短 2 倍 平 均 运 行 时 间 的 改 善 程 度 会 随 参 考 设 计 与 当 前 设 计 之 间 相 似 度 的 降 低 而 有 所 下 降 若 相 似 度 低 于 80%, 则 使 用 增 量 布 局 布 线 功 能 只 有 很 微 小 的 优 势 或 者 基 本 没 有 优 势 适 用 于 增 量 流 程 的 良 好 的 使 用 模 型 包 括 : 修 复 已 实 现 的 设 计 方 案, 且 设 计 已 接 近 满 足 时 序 要 求 并 只 需 要 较 小 的 局 部 修 复 为 已 实 现 的 设 计 添 加 调 试 内 核 重 新 设 计 对 有 限 数 量 逻 辑 产 生 影 响 的 关 键 局 部 路 径 创 建 全 新 的 设 计 修 订 版 本 注 意 : 这 倾 向 于 具 有 较 低 的 相 似 度 除 了 缩 短 运 行 时 间 外, 增 量 编 译 对 没 有 发 生 变 化 的 设 计 部 分 造 成 的 破 坏 也 很 小, 因 此 能 减 少 时 序 变 化 能 否 有 效 重 新 利 用 参 考 设 计 的 布 局 布 线 取 决 于 两 个 不 同 方 案 之 间 的 设 计 差 异 有 时, 源 设 计 中 细 微 的 变 化 会 对 最 终 结 果 产 生 很 大 的 影 响, 从 而 导 致 重 新 利 用 变 得 困 难 重 重 或 效 果 较 差 如 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 中 的 借 助 增 量 编 译 以 节 省 布 局 布 线 运 行 时 间 Send Feedback 218

219 第 5 章 : 实 现 RTL 微 小 变 化 的 影 响 尽 管 综 合 试 图 最 大 限 度 地 减 少 网 表 名 称 的 变 化, 但 如 下 所 列 的 RTL 微 小 变 化 有 时 会 导 致 较 大 的 设 计 变 更 : 加 大 调 用 存 储 器 的 尺 寸 加 宽 内 部 总 线 将 数 据 类 型 从 无 符 号 变 为 有 符 号 改 变 约 束 和 综 合 选 项 的 影 响 类 似 地, 改 变 约 束 和 综 合 选 项 也 会 对 增 量 布 局 布 线 造 成 很 大 的 影 响, 例 如 : 改 变 时 序 约 束 和 重 新 综 合 保 存 或 取 消 逻 辑 层 级 启 动 寄 存 器 re-timing 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) 中 的 本 链 接 验 证 网 表 质 量 为 了 确 保 获 得 最 佳 实 现 结 果, 检 查 网 表 的 质 量 十 分 重 要 如 果 综 合 阶 段 没 有 完 成 检 查, 或 者 您 无 法 确 定 网 表 质 量, 请 参 见 第 210 页 的 移 动 后 综 合 了 解 有 关 检 查 网 表 质 量 的 说 明 根 据 包 含 设 计 描 述 内 容 的 源 文 件 的 特 点 以 及 设 计 状 态, 采 用 以 下 Tcl 命 令 可 将 已 综 合 的 设 计 读 取 到 存 储 器 中 : synth_design /launch_uns synth_1 read_checkpoint open_run link_design 表 5-2 : 可 使 用 Tcl 命 令 中 的 模 命 令 工 程 模 式 非 工 程 模 式 synth_design (launch_runs synth_1) (synth_design) read_checkpoint Send Feedback 219

220 第 5 章 : 实 现 表 5-2 : 可 使 用 Tcl 命 令 中 的 模 ( 续 表 ) 命 令 工 程 模 式 非 工 程 模 式 open_run link_design 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 逻 辑 优 化 (opt_design) Vivado 设 计 套 件 逻 辑 优 化 功 能 可 优 化 当 前 存 储 器 中 的 网 表 由 于 这 是 组 合 设 计 的 第 一 个 视 图 (RTL 和 IP 块 ), 因 此 通 常 可 进 一 步 优 化 默 认 情 况 下,opt_design 命 令 执 行 逻 辑 整 理, 移 除 无 负 载 的 单 元, 传 播 常 数 输 入, 以 及 Block RAM 功 耗 优 化 此 外, 它 还 可 执 行 其 他 优 化 内 容, 例 如 重 新 映 射, 即 将 LUT 串 联 组 合 为 更 少 的 LUT 以 缩 短 路 径 深 度 影 响 逻 辑 优 化 的 约 束 和 属 性 Vivado 设 计 套 件 在 逻 辑 优 化 过 程 中 要 顾 及 DONT_TOUCH 和 MARK_DEBUG 属 性, 并 不 会 将 具 有 这 些 属 性 的 网 络 优 化 掉 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 综 合 (UG901) [ 参 考 资 料 12] 将 MARK_DEBUG 放 置 在 采 用 Vivado Logic Analyzer 工 具 进 行 探 索 的 候 选 网 络 中 并 将 含 有 MARK_DEBUG 的 网 络 连 接 到 Slice 边 界 上 以 确 保 该 网 络 可 被 加 探 针 通 常 将 DONT_TOUCH 属 性 放 置 在 节 点 单 元 上 以 防 这 些 单 元 被 优 化 位 于 分 级 单 元 上 的 DONT_ TOUCH 可 保 留 单 元 边 界, 但 单 元 内 部 仍 会 发 生 优 化 DONT_TOUCH 属 性 可 能 被 用 于 具 有 范 围 (scoped) 约 束 的 设 计 段 和 IP 核, 以 确 保 被 施 加 约 束 的 对 象 不 会 被 优 化 掉 逻 辑 优 化 指 令 有 些 指 令 用 来 改 变 opt_design 命 令 的 行 为, 以 运 行 强 调 或 不 强 调 面 积 削 减 效 果 的 多 次 优 过 程, 并 将 LUT 重 新 映 射 到 默 认 流 程 中 如 需 了 解 有 关 逻 辑 优 化 指 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] Send Feedback 220

221 第 5 章 : 实 现 优 化 分 析 opt_design 命 令 生 成 的 消 息 可 详 细 介 绍 每 个 优 化 阶 段 的 结 果 优 化 完 成 后 您 可 运 行 report_ utilization 来 分 析 使 用 率 的 提 升 情 况 为 了 更 好 地 分 析 优 化 结 果, 应 利 用 -verbose 选 项 查 看 受 到 opt_design 优 化 影 响 的 逻 辑 的 附 加 详 情 功 耗 优 化 如 需 了 解 相 关 设 计 的 功 耗 优 化, 敬 请 参 阅 第 292 页 上 的 功 耗 优 化 布 局 (place_design) Vivado 设 计 套 件 布 局 器 引 擎 可 将 来 自 网 表 的 单 元 放 到 目 标 赛 灵 思 器 件 的 特 定 位 置 与 其 他 实 现 命 令 一 样,Vivado 设 计 套 件 布 局 器 可 处 理 和 更 新 内 存 里 的 设 计 影 响 布 局 的 约 束 以 下 约 束 将 影 响 Vivado 设 计 套 件 布 局 器 中 设 计 对 象 的 布 局 : I/O 约 束 ( 实 例 :IOB IOSTANDARD) 位 置 约 束 ( 实 例 :LOC PBLOCK PROHIBIT) 时 序 约 束 ( 实 例 :create_clock) 网 表 约 束 ( 实 例 :LOCK_PINS CLOCK_DEDICATED_ROUTE) RPM 和 XDC 宏 ( 实 例 :create_macro) 如 需 了 解 有 关 布 局 约 束 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (UG903) [ 参 考 资 料 14] 布 局 分 析 布 局 后 使 用 时 序 总 结 报 告 检 查 关 键 路 径 具 有 很 大 建 立 时 间 时 序 负 裕 量 的 路 径 可 能 需 要 检 查 约 束 以 确 保 整 性 和 正 确 性, 或 者 逻 辑 重 组 以 实 现 时 序 收 敛 具 有 很 大 保 持 时 间 时 序 负 裕 量 的 路 径 最 有 可 能 因 为 不 正 确 的 约 束 或 不 好 的 时 钟 拓 扑 结 构 造 成, 因 此 需 在 进 入 布 线 设 计 之 前 对 其 进 行 修 复 Send Feedback 221

222 第 5 章 : 实 现 具 有 较 小 保 持 时 间 时 序 负 裕 量 的 路 径 有 可 能 被 布 线 器 修 复 您 也 可 以 在 place_design 之 后 运 行 report_clock_utilization, 以 便 查 看 按 照 时 钟 区 域 详 细 分 解 时 钟 资 源 和 负 载 数 量 的 报 告 如 需 了 解 更 多 信 息, 请 参 见 第 227 页 的 时 序 收 敛 如 果 Vivado 设 计 套 件 布 局 器 没 能 找 到 用 于 时 钟 和 I/O 布 置 的 解 决 方 案, 布 局 器 就 会 报 告 设 计 方 案 违 反 了 哪 些 布 局 规 则, 并 简 要 描 述 受 影 响 的 单 元 布 局 会 因 以 下 几 个 原 因 而 失 败, 包 括 : 由 约 束 冲 突 引 起 的 时 钟 树 问 题 布 局 器 无 法 解 决 的 过 于 复 杂 的 时 钟 树 问 题 RAM 和 DSP 模 块 布 局 与 其 他 约 束 ( 例 如 Pblocks) 相 冲 突 资 源 的 过 度 使 用 I/O bank 要 求 和 规 则 为 了 解 决 布 局 问 题, 应 仔 细 分 析 所 生 成 的 错 误 信 息 在 多 数 情 况 下, 这 些 信 息 指 的 是 无 法 实 现 有 效 解 决 方 案 的 中 间 布 局 尝 试 消 除 可 能 导 致 布 局 问 题 的 布 局 约 束, 或 者 对 复 杂 时 钟 树 问 题 来 说, 约 束 时 钟 缓 冲 器 可 能 会 实 现 成 功 布 局 SSI 布 局 布 局 策 略 利 用 内 置 的 布 局 算 法, 工 具 试 图 : 1. 采 取 不 超 越 SLL 资 源 的 方 式 对 设 计 进 行 布 局 2. 限 制 必 须 穿 过 SLR 元 件 的 时 序 关 键 路 径 的 数 量 3. 对 资 源 进 行 平 衡, 但 不 会 使 用 给 定 资 源 过 度 填 充 SLR 4. 将 SLL 交 叉 数 量 制 到 最 低 通 过 遵 循 这 些 策 略, 工 具 会 尝 试 在 打 破 平 衡 布 局 的 同 时 满 足 性 能 要 求 其 他 影 响 SLR 选 择 的 因 素 其 他 可 能 也 影 响 SLR 选 择 的 设 计 与 实 现 因 素 包 括 : 1. 引 脚 布 局 2. 时 钟 选 择 Send Feedback 222

223 第 5 章 : 实 现 3. 资 源 类 型 4. 物 理 约 束, 例 如 布 局 规 划 (PBlocks) 和 LOC 约 束 5. 时 序 约 束 6. I/O 标 准 和 其 他 约 束 赛 灵 思 建 议 您 允 许 工 具 分 配 SLR 元 件, 并 执 行 智 能 引 脚 布 局 时 钟 选 择 和 其 他 设 计 选 择 如 需 了 解 更 多 相 关 信 息, 敬 请 参 阅 本 章 中 的 下 列 部 分 : 第 224 页 布 局 器 指 令, 介 绍 专 门 用 于 基 于 SSI 设 计 的 指 令 类 型 第 216 页 策 略, 介 绍 专 门 用 于 基 于 SSI 设 计 的 策 略 手 动 SLR 分 配 当 工 具 无 法 找 到 满 足 设 计 要 求 的 解 决 方 案 时, 或 者 当 运 行 间 的 重 复 性 比 较 重 要 时, 或 许 有 必 要 进 行 手 动 SLR 分 配 执 行 手 动 SLR 分 配 执 行 手 动 SLR 分 配 : 1. 创 建 大 型 PBlock( 区 域 ) 2. 将 设 计 的 某 些 部 分 分 配 到 那 些 区 域 将 设 计 的 较 大 部 分 分 配 到 单 个 SLR : 1. 创 建 一 个 包 含 单 个 SLR 的 PBlock 2. 将 逻 辑 的 相 关 层 级 分 配 至 该 PBlock 尽 管 可 将 逻 辑 分 配 到 多 个 相 邻 的 SLR 元 件 中, 但 仍 须 确 保 PBlock 包 含 整 个 SLR 不 要 创 建 穿 过 SLR 边 界 但 无 法 约 束 整 个 SLR 的 PBlocks 这 样 做 会 使 自 动 SLR 布 局 算 法 难 以 将 布 局 合 法 化 手 动 SLR 分 配 指 南 当 您 手 动 向 SLR 元 件 分 配 逻 辑 时, 赛 灵 思 建 议 您 1. 采 取 不 超 越 SLL 资 源 的 方 式 对 设 计 进 行 布 局 2. 限 制 必 须 穿 过 SLR 元 件 的 时 序 关 键 路 径 的 数 量 3. 对 资 源 进 行 平 衡, 但 不 要 用 给 定 资 源 过 度 填 充 SLR Send Feedback 223

224 第 5 章 : 实 现 4. 将 SLL 交 叉 数 量 限 制 到 最 低 布 局 器 指 令 因 为 布 局 对 整 体 设 计 性 能 通 常 起 主 要 影 响 作 用, 因 此 为 您 提 供 了 多 个 布 局 器 指 令, 可 针 对 不 同 情 况 探 索 解 决 方 案 空 间 提 示 : 首 先 使 用 默 认 指 令 当 设 计 快 完 成 时 再 使 用 其 他 指 令, 以 探 索 设 计 的 解 决 方 案 空 间 表 5-3 : 一 般 情 况, 标 明 哪 种 指 令 有 利 于 哪 类 设 计 表 5-3 : 一 般 情 况 指 令 类 型 适 用 设 计 模 块 布 局 NetDelay 具 有 很 多 Block RAM DSP 模 块 或 两 者 兼 有 的 设 计 设 计 中 预 计 会 有 很 多 长 距 离 网 络 连 接, 以 及 设 计 中 有 很 多 扇 出 到 多 个 不 同 模 块 的 网 络 SpreadLogic 设 计 中 具 有 非 常 高 速 的 连 接, 可 能 造 成 拥 塞 ExtraPostPlacement Opt 所 有 设 计 类 型 SSI 可 受 益 于 不 同 类 型 分 区 以 缓 解 拥 塞 或 改 善 时 序 的 SSI 设 计 如 需 了 解 有 关 布 局 器 指 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 物 理 优 化 (phys_opt_design) 物 理 优 化 属 于 流 程 中 的 可 选 步 骤, 其 用 于 对 设 计 的 负 时 序 裕 量 路 径 执 行 时 序 驱 动 的 优 化 优 化 内 容 涉 及 复 制 重 新 Re-timing 保 持 固 定 以 及 布 局 改 进 由 于 物 理 优 化 自 动 执 行 所 有 必 要 的 网 表 和 布 局 变 更, 因 此 在 phys_opt_design 之 后 不 需 要 进 行 place_design 物 理 综 合 需 求 为 了 确 定 设 计 是 否 能 受 益 于 物 理 综 合, 需 要 在 完 成 布 局 后 对 时 序 进 行 评 估 应 针 对 扇 出 特 点 分 析 故 障 路 径 高 扇 出 关 键 路 径 可 受 益 于 扇 出 优 化 此 外, 如 果 大 型 RAM 模 块 涉 及 到 多 个 在 route_ design 后 产 生 时 序 失 败 的 Block RAM, 么 其 高 扇 出 数 据 地 址 和 控 制 网 络 可 受 益 于 Forced Net Replication 如 需 了 解 有 关 物 理 综 合 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] Send Feedback 224

225 第 5 章 : 实 现 影 响 物 理 优 化 的 约 束 时 序 约 束 会 影 响 物 理 优 化 大 部 分 物 理 优 化 都 在 WNS 百 分 率 之 内 具 有 负 裕 量 ( 在 的 时 序 路 径 上 执 行 对 网 表 进 行 修 改, 并 逐 步 添 加 变 更 只 有 在 评 估 时 序 裕 量 面 积 和 功 耗 后 才 能 执 行 变 更 Vivado 设 计 套 件 在 物 理 优 化 过 程 中 也 会 顾 及 DONT_TOUCH 和 MARK_DEBUG 属 性, 其 与 在 逻 辑 优 化 过 程 中 需 要 顾 及 这 两 个 属 性 的 原 因 相 同 物 理 优 化 指 令 几 个 物 理 优 化 指 令 可 以 让 您 针 对 不 同 情 况 探 索 解 决 方 案 空 间 提 示 : 首 先 使 用 默 认 指 令 当 设 计 快 要 完 成 时 再 使 用 其 他 指 令, 以 探 索 设 计 的 解 决 方 案 空 间 如 需 了 解 有 关 物 理 优 化 指 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 布 线 (route_design) Vivado 设 计 套 件 布 线 器 对 已 布 局 的 设 计 进 行 布 线, 并 优 化 已 布 线 的 设 计, 以 解 决 保 持 时 间 违 规 问 题 该 功 能 默 认 情 况 下 采 用 时 序 驱 动, 但 也 可 关 闭 影 响 布 线 的 约 束 以 下 约 束 将 会 影 响 Vivado 设 计 套 件 布 线 器 中 的 布 线 : 固 定 布 线 ( 例 如 :FIXED_ROUTE) 引 脚 锁 定 约 束 ( 例 如 :LOCK_PINS) 时 序 约 束 ( 例 如 :create_clock) 相 互 冲 突 的 约 束 会 在 布 线 器 中 造 成 错 误 如 需 了 解 有 关 布 线 约 束 的 更 多 信 息, 敬 请 查 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (G903) [ 参 考 资 料 14] 布 线 分 析 网 络 没 有 达 到 最 佳 布 线 通 常 是 由 不 正 确 的 时 序 约 束 造 成 在 试 验 布 线 器 的 设 置 之 前, 一 定 要 验 证 Send Feedback 225

226 第 5 章 : 实 现 布 线 器 所 见 的 约 束 和 时 序 图 在 布 线 之 前 检 查 已 布 局 设 计 的 时 序 报 告, 以 验 证 时 序 和 约 束 较 差 时 序 约 束 的 常 见 实 例 包 括 跨 时 钟 路 径 和 多 周 期 路 径 在 这 种 路 径 中 保 持 时 序 会 导 致 布 线 延 迟 插 入 ; 以 及 拥 塞 区 域, 该 问 题 可 通 过 RTL 综 合 中 的 目 标 扇 出 优 化 或 通 过 物 理 优 化 来 解 决 如 需 了 解 更 多 信 息, 请 查 见 第 227 页 的 时 序 收 敛 中 间 布 线 结 果 当 布 线 失 败 时,Vivado 设 计 套 件 布 线 器 仍 继 续 提 供 尽 可 能 完 整 的 设 计 方 案, 以 协 助 调 试 在 对 设 计 布 线 后 运 行 report_route_status, 以 报 告 设 计 中 的 布 线 状 态 如 果 布 线 不 彻 底, 还 需 要 进 行 手 动 干 预 在 下 列 提 示 的 帮 助 下 确 定 后 续 步 骤 : 运 行 report_route_status 并 检 查 Nets with Routing Errors 部 分 找 到 网 络 然 后 创 建 原 理 图, 然 后 查 找 类 似 高 扇 出 网 络 或 时 钟 规 则 违 规 这 样 的 区 域 运 行 DRC 检 查 器 有 时 能 发 现 时 钟 规 则 违 规 如 果 物 理 布 局 约 束 (Pblock) 导 致 该 问 题, 把 全 部 Pblock 约 束 清 除 后 重 新 生 成 一 个 版 本 审 核 vivado.log 文 件 的 布 线 部 分, 找 到 Phase 3.2 Budgeting 项 总 体 拥 塞 程 度 记 录 在 级 别 (levels) 中, 其 中 7 表 示 最 为 严 重 7 级 拥 塞 指 覆 盖 2^7 (128) 个 模 块 区 域 的 布 线 利 用 率 已 经 超 过 100% 同 时 报 告 布 线 方 向 ( 东 西 南 北 ) INT_XXX 数 值 是 器 件 布 线 资 源 视 图 中 可 见 的 互 联 布 线 模 块 的 座 标 打 开 布 线 后 设 计 检 查 点 文 件 (.dcp), 然 后 再 打 开 器 件 纵 向 与 横 向 指 标 拥 塞 叠 加 功 能 查 找 日 志 文 件 拥 塞 报 告 中 报 告 的 模 块 区 域 中 的 热 点 区 在 器 件 视 图 中 选 择 热 点 中 的 全 部 单 元 并 生 成 原 理 图 查 找 有 大 扇 出 的 网 络 使 用 重 点 针 对 拥 塞 的 布 局 指 令 重 新 运 行 设 计 如 需 了 解 有 关 仅 对 特 定 网 络 重 新 布 线 的 更 多 信 息, 敬 请 参 阅 使 用 Re-Entrant 布 线 模 式 布 线 器 指 令 几 个 布 线 器 指 令 可 以 让 您 针 对 不 同 情 况 探 索 解 决 方 空 间 提 示 : 首 先 使 用 默 认 指 令 当 设 计 快 要 完 成 时 再 使 用 其 他 指 令, 以 探 索 设 计 的 解 决 方 案 空 间 如 需 了 解 有 关 布 线 器 指 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] Send Feedback 226

227 第 5 章 : 实 现 使 用 Re-Entrant 布 线 模 式 route_design 命 令 本 质 上 是 可 重 入 对 部 分 布 线 的 设 计 而 言,Vivado 设 计 套 件 布 线 器 会 利 用 现 有 布 线 作 为 起 点, 而 非 从 头 开 始 布 线 Re-entrant 模 式 通 常 是 交 互 式 运 行 以 满 足 具 体 的 布 线 问 题, 例 如 预 先 布 线 关 键 网 络 并 在 完 全 布 线 之 前 锁 定 资 源 ; 以 及 手 动 解 除 非 关 键 网 络 的 布 线 以 释 放 布 线 资 源 用 于 更 关 键 的 网 络 如 需 了 解 有 关 re-entrant 模 式 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 实 现 (UG904) [ 参 考 资 料 15] 时 序 收 敛 时 序 收 敛 是 指 设 计 能 够 满 足 所 有 时 序 要 求 本 节 将 介 绍 如 何 让 您 的 设 计 实 现 时 序 收 敛 用 户 经 常 试 图 在 实 现 阶 段 收 敛 时 序 但 正 如 第 1 章 : 前 言 所 述, 如 果 我 们 进 入 综 合 阶 段 时 具 有 正 确 的 HDL 和 约 束, 时 序 收 敛 会 更 容 易 我 们 再 次 给 出 图 1-3 : 快 速 收 敛 的 设 计 方 法, 以 概 括 总 结 在 综 合 阶 段 利 用 改 进 的 HDL 约 束 和 综 合 选 项 进 行 设 计 反 复 循 环 的 重 要 性 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906) 中 的 链 接 Send Feedback 227

228 第 5 章 : 实 现 图 5-5 : 快 速 收 敛 的 设 计 方 法 遵 循 如 下 指 南 : 若 最 初 不 满 足 序 要 求, 需 评 估 整 个 流 程 的 时 序 关 注 每 个 时 钟 组 的 WNS, 以 此 作 为 改 进 TNS 的 主 要 方 法 重 新 平 衡 设 计 选 择 约 束 和 目 标 架 构 之 间 的 权 衡 知 道 如 何 使 用 工 具 选 项 和 XDC 要 知 道 一 旦 时 序 得 到 满 足 工 具 就 不 会 再 进 一 步 改 善 时 序 ( 更 多 裕 量 ) Send Feedback 228

229 第 5 章 : 实 现 设 计 基 准 (baseline) 创 建 基 准 约 束 意 味 着 要 生 成 最 简 单 的 时 序 约 束 集 一 旦 时 钟 ( 包 括 生 成 的 时 钟 ) 被 完 全 约 束, 所 有 在 设 计 中 包 含 起 点 和 终 点 的 路 径 ( 所 有 寄 存 器 至 寄 存 器 路 径 ) 都 会 被 自 动 约 束 这 样 就 建 立 一 种 简 单 机 制, 即 使 设 计 在 不 断 变 化 也 能 识 别 内 部 器 件 的 时 序 挑 战 由 于 设 计 还 可 能 存 在 时 钟 域 交 叉, 因 此 基 准 (baseline) 约 束 还 应 包 括 指 定 时 钟 ( 和 生 成 时 钟 ) 之 间 的 关 系 基 准 (baseline) 设 定 的 主 要 理 念 是 创 建 一 个 正 确 的 极 简 约 束 集, 该 约 束 集 要 覆 盖 大 部 分 时 序 路 径, 而 不 是 一 直 等 待, 直 到 所 有 约 束 都 能 被 完 全 指 定 因 此,I/O 时 序 定 义 和 收 敛 要 等 到 过 后 才 调 用, 即 设 计 已 有 了 很 大 进 展 而 且 对 I/O 时 序 有 更 好 的 了 解 相 应 地, 基 准 (baseline) 约 束 不 包 括 I/O 时 序 约 束 赛 灵 思 建 议 您 在 设 计 过 程 中 尽 早 创 建 基 准 (baseline) 约 束 设 计 若 发 生 任 何 较 大 变 化, 应 依 照 这 些 基 准 (baseline) 约 束 来 调 整 HDL 的 时 序 定 期 调 整 设 计 更 新 的 时 序 能 确 保 任 何 时 序 瓶 颈 在 刚 出 现 时 就 能 被 发 现 提 示 : 敬 请 参 阅 第 243 页 的 定 义 基 准 (baseline) 约 束 创 建 基 准 约 束 参 见 第 229 页 的 了 解 时 序 报 告, 以 便 了 解 和 解 读 时 序 报 告 如 果 您 遇 到 基 准 (baseline) 约 束 的 时 序 问 题, 参 见 第 243 页 的 调 试 和 修 复 时 序 问 题 当 知 道 和 确 定 I/O 时 序 需 求 时, 可 能 会 添 加 I/O 约 束 当 使 用 基 准 (baseline) 约 束 时 别 忘 了 还 要 包 括 IP 约 束 由 于 有 些 IP 核 需 要 在 用 户 设 计 中 实 现 特 定 连 接 ( 和 时 序 ), 因 此 您 还 应 参 考 为 IP 提 供 的 实 例 设 计 的 约 束 在 按 设 计 流 程 逐 步 操 作 和 优 化 设 计 约 束 时, 请 填 写 附 录 A 提 供 的 调 查 表 基 准 (baseline) 设 置 和 时 序 约 束 验 证 流 程 该 流 程 有 助 于 用 户 跟 踪 实 现 时 序 约 束 的 进 展 情 况, 现 潜 在 的 瓶 颈 了 解 时 序 报 告 与 约 束 相 比, 时 序 报 告 提 供 设 计 时 序 特 性 的 高 层 次 信 息 在 结 束 时 检 查 时 序 总 结 数 字 : TNS( 总 体 负 时 序 裕 量 ) 是 整 个 设 计 或 针 对 特 定 时 钟 域 中 每 个 端 点 建 立 / 恢 复 违 规 的 总 和 最 差 设 置 建 立 / 恢 复 时 序 裕 量 为 WNS( 最 差 负 时 序 裕 量 ) Send Feedback 229

230 第 5 章 : 实 现 THS( 总 体 保 持 时 序 裕 量 ) 是 整 个 设 计 或 针 对 特 定 时 钟 域 中 每 个 端 点 的 保 持 / 移 除 违 规 的 总 和 最 差 保 持 / 移 除 时 序 裕 量 为 WHS( 最 差 保 持 时 序 裕 量 ) TPWS( 总 体 脉 冲 宽 度 时 序 裕 量 ) 是 整 个 设 计 或 针 对 特 定 时 钟 域 中 每 个 端 点 进 行 以 下 检 查 时 的 违 规 总 和 : 最 小 低 脉 冲 宽 度 最 小 高 脉 冲 宽 度 最 小 周 期 最 大 周 期 最 大 Skew( 相 同 单 元 的 两 个 时 钟 引 脚 之 间 ) 针 对 任 意 给 定 引 脚 的 所 有 联 合 检 查 的 最 差 时 序 裕 量 为 WPWS( 最 差 脉 宽 时 序 裕 量 ) 最 差 时 序 裕 量 可 以 是 : 正 ( 满 足 时 序 ), 或 负 ( 时 序 失 败 ) 总 时 序 裕 量 可 以 是 如 下 之 一 : 负 零 此 外, 时 序 报 告 还 提 供 在 任 意 逻 辑 路 径 上 针 对 任 何 时 序 检 查 其 时 序 裕 量 的 详 细 计 算 方 法 在 被 完 全 约 束 的 设 计 中, 每 条 路 径 都 有 一 个 或 几 个 必 须 满 足 的 需 求, 以 确 保 相 关 逻 辑 的 功 能 可 靠 性 涵 盖 WNS TNS WHS 和 THS 的 主 要 检 查 工 程 源 于 连 续 单 元 的 功 能 需 求 : 建 立 时 间 是 指 为 安 全 采 集 数 据 在 下 个 有 效 时 钟 沿 到 来 前 可 提 供 新 稳 定 数 据 之 前 所 需 的 时 间 保 持 需 求 是 指 有 效 时 钟 沿 到 来 后 为 避 免 捕 获 无 用 值 使 数 据 须 保 持 稳 定 的 总 时 间 恢 复 时 间 是 指 为 安 全 锁 存 新 数 据 使 异 步 复 位 信 号 切 换 到 非 活 动 状 态 后 下 个 有 效 时 钟 沿 到 来 之 前 的 最 小 时 间 移 除 时 间 是 指 有 效 时 钟 沿 之 后 异 步 复 位 信 号 安 全 切 换 到 非 活 动 状 态 之 前 的 最 小 时 间 一 个 简 单 实 例 是 两 个 连 接 到 相 同 时 钟 网 络 的 触 发 器 之 间 的 路 径 Send Feedback 230

231 第 5 章 : 实 现 一 旦 在 时 钟 网 络 上 定 义 时 序 时 钟, 时 序 分 析 就 会 对 目 标 触 发 器 的 数 据 引 脚 在 最 悲 观 但 又 合 理 的 工 作 条 件 下 执 行 建 立 和 保 持 检 查 当 建 立 和 保 持 检 查 都 通 过 后, 从 源 触 发 器 到 目 的 触 发 器 之 间 的 数 据 传 输 就 能 安 全 进 行 下 面 一 节 将 概 括 介 绍 时 序 分 析 的 原 理 以 及 如 何 根 据 用 户 约 束 来 计 算 时 序 裕 量 最 大 和 最 小 延 迟 分 析 时 序 分 析 是 一 种 静 态 确 认, 当 设 计 时 序 行 为 被 加 载 并 在 硬 件 上 运 行 时 可 对 其 进 行 预 测 分 析 过 程 会 考 虑 一 系 列 整 合 到 延 迟 模 型 中 的 制 造 和 环 境 变 化, 而 这 些 模 型 可 根 据 时 序 corner 和 corner 变 化 进 行 分 组 针 对 所 有 已 推 荐 的 corner 即 可 分 析 时 序, 并 针 对 每 个 corner 在 最 悲 观 条 件 下 执 行 所 有 检 查 例 如, 针 对 赛 灵 思 7 系 列 FPGA 器 件 系 列 的 设 计 必 须 通 过 如 下 四 种 分 析 : 慢 速 corner 中 的 最 大 延 迟 分 析 慢 速 corner 中 的 最 小 延 迟 分 析 快 速 corner 中 的 最 大 延 迟 分 析 快 速 corner 中 的 最 小 延 迟 分 析 应 根 据 所 执 行 的 检 查 类 型 采 用 代 表 最 悲 观 条 件 的 延 迟 这 也 就 是 以 下 检 查 与 延 迟 类 型 总 是 关 联 在 一 起 的 原 因 : 最 大 延 迟 与 建 立 和 恢 复 检 查 最 小 延 迟 与 保 持 和 移 除 检 查 最 大 延 迟 与 建 立 和 恢 复 检 查 给 定 corne 的 最 慢 延 迟 用 于 源 时 钟 路 径 和 数 据 路 径 积 累 的 延 迟 / 复 位 相 同 corner 的 最 快 延 迟 用 于 目 标 时 钟 路 径 积 累 的 延 迟 最 小 延 迟 与 保 持 和 移 除 检 查 给 定 corner 的 最 快 延 迟 用 于 源 时 钟 路 径 和 数 据 / 复 位 路 径 积 累 的 延 迟 相 同 corner 的 最 慢 延 迟 用 于 目 的 时 钟 路 径 积 累 的 延 迟 Send Feedback 231

232 第 5 章 : 实 现 当 映 射 到 不 同 corner 时, 这 些 检 查 变 为 : 建 立 / 恢 复 ( 最 大 延 迟 分 析 ) 保 持 / 移 除 ( 最 小 延 迟 分 析 ) 建 立 / 恢 复 ( 最 大 延 迟 分 析 ) 源 时 钟 (Slow_max) 数 据 路 径 (Slow_max) 目 标 时 钟 (Slow_min) 源 时 钟 (Fast_max) 数 据 路 径 (Fast_max) 目 标 时 钟 (Fast_min) 保 持 / 移 除 ( 最 小 延 迟 分 析 ) 源 时 钟 (Slow_min) 数 据 路 径 (Slow_min) 目 标 时 钟 (Slow_max) 源 时 钟 (Fast_min) 数 据 路 径 (Fast_min) 目 标 时 钟 (Fast_max) 来 自 不 同 corner 的 延 迟 在 时 序 裕 量 计 算 时 绝 不 会 混 合 到 相 同 路 径 通 常, 建 立 或 恢 复 违 规 发 生 于 慢 速 corner 延 迟, 保 持 或 移 除 违 规 发 于 快 速 corner 延 迟 但 是, 也 不 一 定 总 是 如 此 ( 尤 其 对 于 I/O 时 序 ), 因 此 赛 灵 思 建 议 您 在 两 种 corner 上 都 执 行 两 类 分 析 建 立 / 恢 复 关 系 建 立 检 查 只 对 两 个 时 钟 之 间 最 悲 观 的 建 立 关 系 执 行 默 认 情 况 下 对 应 于 发 送 和 接 收 沿 之 间 的 最 小 正 δ 例 如, 考 虑 两 个 触 发 器 之 间 的 路 径, 且 这 两 个 触 发 对 各 自 时 钟 的 上 升 沿 敏 感 该 路 径 的 发 送 和 接 收 沿 仅 为 时 钟 上 升 沿 时 钟 定 义 如 下 : clk0 周 期 是 6ns, 第 一 次 上 升 在 0ns, 下 降 沿 在 3ns clk1 周 期 是 4ns, 第 一 次 上 升 在 0ns, 下 降 沿 在 2ns 如 图 5-6 : 建 立 关 系 所 示, 有 两 种 唯 一 的 建 立 关 系 : 建 立 (1) 和 建 立 (2) Send Feedback 232

233 第 5 章 : 实 现 图 5-6 : 建 立 关 系 从 clk0 到 clk1 的 最 小 正 δ 是 2ns, 对 应 于 建 立 (2) 提 示 : 应 在 具 有 理 想 时 钟 波 形 时 建 立 建 立 关 系, 也 就 是 说 在 时 钟 根 到 触 发 器 时 钟 引 脚 之 间 插 入 延 迟 之 前 建 立 一 旦 已 知 路 径 的 需 求, 可 带 入 路 径 延 迟 时 钟 不 确 定 性 和 建 立 时 间 以 计 算 时 序 裕 量 典 型 的 时 序 裕 量 计 算 方 程 为 : 数 据 需 求 时 间 ( 设 置 ) = 接 收 沿 时 间 + 目 标 时 钟 路 径 延 迟 - 时 钟 不 确 定 性 - 设 置 时 间 数 据 达 到 时 间 ( 设 置 ) = 发 送 沿 时 间 + 源 时 钟 路 径 延 迟 + 数 据 路 径 延 迟 时 序 裕 量 ( 设 置 ) = 数 据 需 求 时 间 数 据 到 达 时 间 如 公 式 所 示, 当 数 据 在 需 求 时 间 之 前 到 达 时, 则 建 立 时 序 裕 量 为 正 数 恢 复 检 查 与 建 立 检 查 类 似, 区 别 在 于 应 用 于 异 步 引 脚, 例 如 预 置 或 清 除 关 系 的 建 立 方 式 与 建 立 检 查 相 同 时 序 裕 量 计 算 公 式 也 类 似, 区 别 在 于 使 用 的 是 恢 复 时 间 而 是 建 立 时 间 保 持 / 移 除 关 系 保 持 检 查 ( 也 称 为 保 持 关 系 ) 与 建 立 关 系 直 接 关 联 设 置 分 析 能 确 保 数 据 在 最 悲 观 条 件 下 被 安 全 捕 获 到, 而 保 持 关 系 则 确 保 : 建 立 发 送 沿 发 送 的 数 据 无 法 被 建 立 接 收 沿 前 的 有 效 沿 捕 获 到 (H1a 和 H2a 分 别 对 应 于 建 立 沿 S1 和 S2, 见 图 5-7 : 每 个 建 立 关 系 的 保 持 关 系 ) Send Feedback 233

234 第 5 章 : 实 现 建 立 发 送 沿 后 的 下 个 有 效 源 时 钟 沿 发 送 的 数 据 无 法 被 建 立 接 收 沿 捕 获 到 (H2a 和 H2b 分 别 对 应 于 建 立 沿 S1 和 S2, 见 图 5-7 : 每 个 建 立 关 系 的 保 持 关 系 ) 在 保 持 分 析 过 程 中, 时 序 引 擎 只 报 告 任 意 两 个 时 钟 之 间 最 悲 观 的 保 持 关 系 最 保 守 保 持 关 系 并 非 总 是 与 最 差 建 立 关 系 相 关 联 时 序 引 擎 必 须 检 查 所 有 可 能 的 建 立 关 系 及 其 相 应 的 保 持 关 系, 以 识 别 最 悲 观 的 保 持 关 系 例 如, 考 虑 建 立 关 系 实 例 中 所 用 的 相 同 路 径 存 在 两 种 唯 一 的 建 立 关 系 图 5-7 : 每 个 建 立 关 系 的 保 持 关 系, 展 示 了 每 个 建 立 关 系 的 两 种 保 持 关 系 图 5-7 : 每 个 建 立 关 系 的 保 持 关 系 最 高 的 保 持 要 求 是 0ns, 对 应 于 源 时 钟 和 目 标 时 钟 的 第 一 个 上 升 沿 一 旦 已 知 路 径 需 求 后, 可 带 入 路 径 延 迟 时 钟 确 定 性 和 保 持 时 间 以 计 算 时 序 裕 量 典 型 的 时 序 裕 量 计 算 公 式 为 : 数 据 需 求 时 间 ( 保 持 ) = 接 收 沿 时 间 + 目 标 时 钟 路 径 延 迟 - 时 钟 不 确 定 性 + 保 持 时 间 数 据 到 达 时 间 ( 保 持 ) = 发 送 沿 时 间 + 源 时 钟 路 径 延 迟 + 数 据 路 径 延 迟 时 序 裕 量 ( 保 持 ) = 数 据 到 达 时 间 数 据 需 求 时 间 如 公 式 所 示, 当 新 数 据 在 需 求 时 间 之 后 达 到 时, 则 保 持 时 序 裕 量 为 正 数 移 除 检 查 与 保 持 检 查 类 似, 区 别 在 于 其 应 用 于 异 步 引 脚, 例 如 预 置 或 清 除 关 系 的 建 立 方 式 与 保 持 检 查 相 同, 而 且 时 序 裕 量 计 算 公 式 也 类 似, 区 别 在 于 采 用 的 是 移 除 时 间 而 非 保 持 时 间 Send Feedback 234

235 第 5 章 : 实 现 路 径 要 求 路 径 要 求 表 示 时 序 路 径 接 收 沿 与 发 送 沿 之 间 的 时 间 差 异 例 如, 当 具 有 与 前 一 节 中 相 同 的 路 径 和 时 钟 时, 则 存 在 如 下 路 径 要 求 : 设 置 路 径 要 求 (S1) = 1*T(clk1) - 0*T(clk0) = 4ns 设 置 路 径 要 求 (S2) = 2*T(clk1) - 1*T(clk0) = 2ns 相 应 的 保 持 关 系 为 : 对 应 于 设 置 S1 保 持 路 径 要 求 (H1a) = (1-1)*T(clk1) - 0*T(clk0) = 0ns 保 持 路 径 要 求 (H1b) = 1*T(clk1) - (0+1)*T(clk0) = -2ns 对 应 于 设 置 S2 保 持 路 径 要 求 (H2a) = (2-1)*T(clk1) - 1*T(clk0) = -2ns 保 持 路 径 要 求 (H2b) = 2*T(clk1) - (1+1)*T(clk0) = -4ns 只 需 用 两 个 最 悲 观 的 要 求 执 行 时 序 分 析 如 上 实 例 即 为 : 设 置 要 求 S2 保 持 要 求 H1a 时 钟 Skew 与 不 确 定 性 Skew 与 不 确 定 性 都 会 影 响 到 建 立 和 保 持 计 算 和 时 序 裕 量 Skew 的 定 义 时 钟 Skew 是 指 目 标 时 钟 路 径 与 源 时 钟 路 径 之 间 的 插 入 延 迟 差 异 :(1) 从 它 们 在 设 计 中 的 交 点 ;(2) 分 别 到 终 点 和 起 点 连 续 单 元 时 钟 引 脚 如 下 公 式 中 : Tcj 为 公 共 节 点 到 终 点 时 钟 引 脚 的 延 迟 Tci 为 公 共 节 点 到 起 点 时 钟 引 脚 的 延 迟 Tskewi,j = Tci- Tcj 时 钟 悲 观 (pessimism) 移 除 典 型 的 时 序 路 径 报 告 会 显 示 源 时 钟 路 径 和 目 标 时 钟 路 径 从 其 根 到 连 续 单 元 时 钟 引 脚 的 详 细 延 迟 Send Feedback 235

236 第 5 章 : 实 现 情 况 正 如 在 最 小 和 最 大 延 迟 分 析 中 所 解 释 的 那 样, 源 时 钟 和 目 标 时 钟 即 使 在 其 共 有 电 路 上 也 要 用 不 同 延 迟 进 行 分 析 图 5-8 : 时 钟 树 共 用 部 分 公 共 部 分 上 的 延 迟 差 异 给 Skew 计 算 带 来 了 一 些 附 加 悲 观 (pessimism) 效 应 为 避 免 出 现 与 实 际 不 符 的 时 序 裕 量 计 算, 应 利 用 名 为 时 钟 悲 观 移 除 (CPR) 的 延 迟 值 来 补 偿 这 种 悲 观 效 应 时 钟 悲 观 移 除 (CPR) = 共 用 时 钟 电 路 ( 最 大 延 迟 最 小 延 迟 ) 给 Skew 加 上 或 减 去 CPR, 取 决 于 所 执 行 分 析 的 类 型 : 最 大 延 迟 分 析 ( 建 立 / 恢 复 ) CPR 与 目 标 时 钟 路 径 延 迟 相 加 最 小 延 迟 分 析 ( 保 持 / 移 除 ) 从 目 标 时 钟 路 径 延 迟 中 减 去 CPR Vivado 设 计 套 件 时 序 报 告 中 每 条 时 序 路 径 的 时 钟 Skew, 如 下 所 示 ( 该 实 例 中 为 保 持 分 析 ): DCD 目 标 时 钟 延 迟 SCD 源 时 钟 延 迟 CPR 时 钟 悲 观 移 除 时 钟 路 径 Skew :0.31ns (DCD - SCD - CPR) 目 表 时 钟 延 迟 (DCD): 2.581ns 源 时 钟 延 迟 (SCD): 2.133ns 时 钟 悲 观 移 除 (CPR): 0.147ns 多 数 情 况 下, 布 线 前 后 CPR 精 度 会 发 生 变 化 例 如, 我 们 认 为 一 个 时 序 路 径, 其 源 时 钟 和 目 标 时 钟 为 相 同 时 钟, 且 起 点 和 终 点 时 钟 引 脚 由 相 同 的 时 钟 缓 冲 器 进 行 驱 动 Send Feedback 236

237 第 5 章 : 实 现 在 布 线 之 前, 公 共 点 为 时 钟 网 络 驱 动 器, 即 时 钟 缓 冲 器 输 出 引 脚 CPR 只 补 偿 从 时 钟 根 到 时 钟 缓 冲 器 输 出 引 脚 之 间 的 悲 观 (pessimism) 效 应 在 布 线 之 后, 公 共 点 为 器 件 架 构 中 由 源 时 钟 路 径 和 目 标 时 钟 路 径 共 享 的 最 后 布 线 资 源 这 个 公 共 点 没 有 出 现 在 网 表 中, 因 此 无 法 通 过 减 去 公 共 时 钟 电 路 延 迟 差 异 的 方 法 从 时 序 报 告 中 直 接 检 索 相 应 的 CPR 时 序 引 擎 将 根 据 用 户 无 法 直 接 看 到 的 器 件 信 息 来 计 算 CPR 值 乐 观 (Optimistic)Skew 赛 灵 思 FPGA 器 件 可 提 供 先 进 的 时 序 资 源, 例 如 专 用 时 钟 布 线 树 和 时 钟 修 改 模 块 (CMB) 有 些 CMB 可 利 用 相 位 锁 相 环 电 路 ( 在 PLL 或 MMCM 基 元 中 ) 补 偿 时 钟 树 插 入 延 迟 补 偿 的 总 量 取 决 于 PLL 反 馈 回 路 上 出 现 的 插 入 延 迟 多 数 情 况 下,PLL( 或 MMCM) 驱 动 几 个 具 有 相 同 类 型 缓 冲 器 的 时 钟 树, 包 括 反 馈 回 路 上 由 于 器 件 比 较 大, 因 此 所 有 时 钟 树 分 支 上 的 插 入 延 迟 并 非 总 与 反 馈 回 路 延 迟 相 匹 配 当 反 馈 回 路 延 迟 大 于 源 时 钟 或 目 标 时 钟 延 迟 时, 由 PLL 驱 动 的 时 钟 就 会 补 偿 过 度 这 种 情 况 下,CPR 的 符 号 发 生 变 化, 同 时 可 有 效 地 从 时 序 裕 量 值 中 移 除 歪 斜 乐 观 值 这 是 有 必 要 的, 因 为 这 样 可 确 保 在 分 析 中 任 何 时 序 路 径 时 钟 的 公 共 节 点 上 都 不 存 在 伪 Skew 建 议 : 在 时 序 分 析 过 程 中 始 终 使 用 CPR 补 偿, 以 保 持 时 序 裕 量 的 精 确 度 和 整 体 时 序 的 签 核 (signoff) 质 量 时 钟 不 确 定 性 时 钟 不 确 定 性 是 指 任 何 一 对 时 钟 沿 之 间 可 能 产 生 的 时 间 变 化 总 量 不 确 定 性 包 含 计 算 得 出 的 时 钟 抖 动 ( 系 统 输 入 和 离 散 ); 由 某 些 硬 件 基 元 引 起 的 相 位 误 差 ; 以 及 用 户 在 设 计 约 束 中 规 定 的 时 钟 不 确 定 性 (set_clock_uncertainty) 对 主 时 钟 而 言, 抖 动 将 由 set_input_jitter 和 set_system_jitter 来 定 义 对 于 时 钟 生 成 器, 例 如 MMCM 和 PLL 而 言, 工 具 将 根 据 源 时 钟 和 配 置 上 用 户 规 定 的 抖 动 来 计 算 生 成 时 钟 的 抖 动 就 其 他 生 成 时 钟 ( 例 如 基 于 触 发 器 的 时 钟 分 频 器 ) 而 言, 其 抖 动 与 源 时 钟 的 抖 动 相 同 用 户 定 的 时 钟 不 确 定 性 将 被 添 加 到 由 Vivado 设 计 套 件 时 序 引 擎 计 算 出 的 不 确 定 性 中 对 于 生 成 时 钟 ( 例 如 由 MMCM PLL 和 触 发 器 时 钟 分 频 器 生 成 的 时 钟 ), 用 户 在 源 时 钟 上 规 定 的 不 确 定 性 不 会 在 时 钟 生 成 器 中 传 播 如 需 了 解 有 关 抖 动 和 相 位 误 差 定 义 的 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (UG903) [ 参 考 资 料 14] Send Feedback 237

238 第 5 章 : 实 现 时 钟 不 确 定 性 有 两 个 作 用 : 保 留 一 定 时 序 裕 量 容 限, 以 反 映 影 响 硬 件 功 能 的 时 钟 噪 声 由 于 延 迟 和 抖 动 数 字 比 较 保 守, 因 此 一 般 不 需 要 为 确 保 正 确 的 硬 件 功 能 额 外 增 加 不 确 定 性 在 一 个 或 多 个 实 现 步 骤 中 过 约 束 与 时 钟 或 时 钟 对 相 关 联 的 路 径 这 样 可 增 大 QoR 容 限, 有 助 于 后 面 的 步 骤 在 这 些 路 径 上 实 现 时 序 收 敛 通 过 使 用 时 钟 不 确 定 性, 时 钟 波 形 及 其 关 系 不 会 改 变, 因 此 其 它 时 序 约 束 仍 能 正 确 应 用 脉 宽 检 查 脉 宽 检 查 是 指 通 过 器 件 内 传 播 之 后 当 信 号 波 到 达 硬 件 单 元 时 对 信 号 波 形 进 行 的 规 则 检 查 通 常 相 当 于 单 元 内 电 路 决 定 的 功 能 限 值 例 如,DSP 时 钟 引 脚 上 的 最 小 周 期 检 查 能 确 保 基 于 时 钟 的 DSP 实 例 不 超 过 内 部 DSP 所 允 许 的 运 行 频 率 脉 宽 检 查 不 会 影 响 设 计 的 综 合 或 者 实 现 与 Vivado 设 计 套 件 提 供 的 其 它 设 计 规 则 检 查 功 能 一 样, 也 必 须 在 生 成 比 特 流 之 前 执 行 一 次 脉 宽 检 查 分 析 时 序 收 敛 指 标 实 现 时 序 收 敛 首 先 要 编 写 用 来 反 映 设 计 如 何 在 硬 件 中 运 行 的 有 效 约 应 满 足 以 下 指 标 : 清 晰 的 约 束 无 时 序 违 规 清 晰 的 约 束 时 钟 定 义 能 抵 达 所 有 活 动 时 钟 引 脚 所 有 活 动 路 径 端 点 具 有 与 所 定 义 时 钟 有 关 的 要 求 ( 建 立 / 保 持 / 恢 复 / 移 除 ) 所 有 活 动 输 入 端 口 具 有 一 个 输 入 延 迟 约 束 所 有 活 动 输 出 端 口 具 有 一 个 输 出 延 迟 约 束 正 确 规 定 时 序 例 外 注 意! 在 约 束 中 过 度 使 用 通 配 符 可 能 导 致 实 际 约 束 与 预 想 的 不 一 致 Send Feedback 238

239 第 5 章 : 实 现 最 后 三 条 只 有 当 约 束 完 成 后 才 适 用, 而 且 不 适 用 于 基 准 约 束 无 时 序 违 规 建 立 / 恢 复 ( 最 大 分 析 ):WNS > 0ns 且 TNS = 0ns 保 持 / 移 除 ( 最 小 分 析 ):WHS > 0ns 且 THS = 0ns 脉 宽 :WPWS > 0ns 且 TPWS = 0ns 检 查 设 计 是 否 正 确 约 束 在 查 看 时 序 结 果 是 否 有 违 规 问 题 之 前, 应 确 保 设 计 中 的 每 个 同 步 端 点 都 被 正 确 约 束 运 行 check_timing 以 识 别 未 约 束 的 路 径 该 命 令 可 作 为 独 立 命 令 运 行, 但 也 是 report_timing_ summary 的 一 部 分 check_timing 命 令 报 告 如 下 情 况 这 些 情 况 指 出 时 序 定 义 中 的 缺 失 或 错 误, 或 正 确 满 足 时 序 要 求 的 含 义 : no_clock unconstrained_internal_endpoints no_input_delay no_output_delay multiple_clck generated_clocks loops partial_input_delay partial_output_delay unexpandable_clocks latch_loops no_clock 定 义 时 序 时 钟 未 接 入 的 时 钟 引 脚 数 报 告 常 数 时 钟 引 脚 检 查 是 否 有 时 钟 约 束 丢 失 或 者 是 否 有 时 钟 引 脚 被 误 接 到 常 数 时 钟 Send Feedback 239

240 第 5 章 : 实 现 unconstrained_internal_endpoints 无 时 序 要 求 的 路 径 端 点 的 数 量 ( 不 包 括 输 出 端 口 ) 该 数 值 直 接 与 no_clock 检 查 报 告 的 丢 失 时 钟 定 义 有 关 no_input_delay 一 个 输 入 延 迟 约 束 都 没 有 的 输 入 端 口 数 量 检 查 set_input_delay 是 否 丢 失 no_output_delay 一 个 输 出 延 迟 约 束 都 没 有 的 输 出 端 口 数 量 multiple_clock 接 入 一 个 以 上 时 序 时 钟 的 时 钟 引 脚 数 如 果 有 一 个 时 钟 树 中 存 在 时 钟 多 路 复 用 器, 就 会 出 现 这 种 情 况 默 认 情 况 下 共 享 相 同 时 钟 树 的 时 钟 会 一 起 定 时, 这 不 能 反 映 实 际 的 时 序 条 件 在 给 定 时 间 内 只 有 一 个 时 钟 能 出 现 在 时 钟 树 上 如 果 您 不 相 信 时 钟 树 具 有 MUX, 应 检 查 时 钟 树 以 便 了 解 多 个 时 钟 如 何 以 及 为 什 么 连 接 到 达 特 定 时 钟 引 脚 generated_clocks 所 生 成 时 钟 的 数 量, 且 生 成 时 钟 均 参 考 位 于 另 外 的 时 钟 树 扇 入 锥 形 区 域 内 的 某 个 主 时 钟 源 Loops 设 计 中 组 合 环 路 的 数 这 些 环 路 自 动 被 Vivado 设 计 套 件 时 序 引 擎 打 破, 以 报 告 时 序 partial_input_delay 只 有 一 个 最 小 输 入 延 迟 或 最 大 输 入 延 迟 约 束 的 输 入 端 口 数 不 会 既 针 对 建 立 分 析 又 针 对 保 持 分 析 来 分 析 这 些 端 口 partial_output_delay 只 有 一 个 最 小 输 出 延 迟 或 最 大 输 出 延 迟 约 束 的 输 出 端 口 数 不 会 既 针 对 建 立 分 析 又 针 对 保 持 分 析 来 分 析 这 些 端 口 Send Feedback 240

241 第 5 章 : 实 现 unexpandable_clocks 时 钟 对 Vivado 设 计 套 件 时 序 引 擎 无 法 为 其 找 到 超 过 1000 个 时 钟 周 期 的 常 用 周 期 乘 法 器 这 些 时 钟 对 之 间 的 路 径 无 法 安 全 定 时 需 要 检 查 时 钟 对 是 否 必 须 视 为 异 步 如 果 时 钟 对 是 同 步 的, 应 执 行 检 查 以 确 保 正 确 指 定 周 期, 并 且 精 度 降 低 不 会 引 起 这 个 问 题 因 时 序 例 外 而 未 被 计 时 的 无 法 扩 展 的 时 钟 对 单 独 在 检 查 的 子 项 中 进 行 报 告 latch_loops 检 查 和 提 醒 通 过 设 计 中 锁 存 器 的 环 路 这 些 环 路 不 作 为 组 合 环 路 的 一 部 分 来 报 告, 并 会 借 用 相 同 路 径 上 的 计 算 资 源 从 而 影 响 锁 存 时 间 修 复 被 check_timing 标 记 的 问 题 并 不 是 所 有 检 查 都 同 等 重 要 当 检 查 和 修 复 由 check_timing 标 记 的 问 题 时, 对 下 面 的 检 查 项 按 照 重 要 性 进 行 了 分 类 ( 最 重 要 到 最 不 重 要 ) 无 时 钟 和 未 约 束 的 内 部 端 点 这 是 最 重 要 的 检 查 项, 用 来 确 定 设 计 中 的 内 部 路 径 是 否 被 完 全 约 束 作 为 静 态 时 序 分 析 最 终 质 量 检 查 的 一 部 分, 必 须 确 保 未 约 束 的 内 部 端 点 数 为 零 0 个 未 约 束 的 内 部 端 点 只 是 虚 假 的 安 全 感 这 只 能 代 表 所 有 内 部 路 径 都 针 对 时 序 分 析 进 行 了 约 束, 但 不 能 保 证 约 束 值 是 正 确 的 不 能 扩 展 (unexpandable) 的 时 钟 重 要 提 示 : 处 理 本 部 分 报 告 列 出 的 所 有 时 钟 对 工 具 会 根 据 时 钟 关 系 情 况 假 设 存 在 时 序 容 限, 但 如 果 时 钟 无 法 扩 展 (unexpandable), 时 序 容 限 就 是 错 误 的 异 步 时 钟 对 必 须 有 时 钟 例 外 处 理, 可 以 是 st_clock_groups set_false_path 或 set_max_delay -datapath_only 约 束 如 果 路 径 同 时 被 set_max_delay 和 set_clock_groups 约 束, 则 set_clock_groups Send Feedback 241

242 第 5 章 : 实 现 有 效 因 此, 当 应 用 set_clock_groups 时, 应 确 保 时 钟 对 ( 或 时 钟 对 中 的 路 径 子 集 ) 没 有 set_ max_delay 应 再 次 检 查 那 些 应 该 关 联 的 时 钟 的 周 期 和 波 形, 以 确 保 精 度 不 高 不 是 造 成 无 法 扩 展 (unexpandable) 的 原 因 例 如, 周 期 分 别 为 和 8.07 的 两 个 时 钟 表 现 为 无 法 扩 展 解 决 方 法 是 将 周 期 规 定 为 和 8.075( 第 一 个 是 对 第 二 个 进 行 divide_by_2) 生 成 时 钟 Generated_clocks 是 设 计 的 正 常 组 成 部 分 但 是, 如 果 生 成 时 钟 的 主 时 钟 不 是 同 一 个 时 钟 树 的 一 部 分, 就 会 带 来 严 重 问 题 时 序 引 擎 无 法 正 确 计 算 生 成 时 钟 树 延 迟 这 会 导 致 时 序 裕 量 计 算 错 误 最 糟 糕 的 情 况 是, 从 报 告 上 看 设 计 满 足 时 序 要 求, 但 是 在 硬 件 中 无 法 工 作 环 路 和 锁 存 器 环 一 个 好 的 设 计 没 有 任 何 组 合 环 路 时 序 环 路 将 被 时 序 引 擎 打 断 被 打 断 的 路 径 无 法 在 时 序 分 析 过 程 中 进 行 报 告, 或 无 法 在 实 现 中 评 估 这 样, 即 使 整 体 时 序 要 求 得 到 满 足, 但 是 会 导 致 硬 件 中 出 现 错 误 行 为 无 输 入 / 输 出 延 迟 和 部 分 输 入 / 输 出 延 迟 所 有 I/O 元 件 必 须 得 到 正 确 的 约 束 建 议 : 首 先 使 用 基 准 (baseline) 约 束 一 旦 经 过 验 证, 再 用 I/O 时 序 完 成 约 束 多 个 时 钟 通 常 可 受 多 个 时 钟 赛 灵 思 建 议 确 保 这 些 时 钟 在 相 同 的 时 钟 树 上 传 播 还 需 核 实 这 些 时 钟 之 间 的 路 径 不 会 引 入 超 过 实 际 需 要 的 更 严 格 要 求, 以 便 让 设 计 在 硬 件 正 常 工 作 如 果 是 这 种 情 况, 必 须 在 这 些 路 径 上 的 时 钟 之 间 使 用 set_clock_groups 或 set_false_path 您 在 使 用 时 序 例 外 时, 必 须 确 保 它 们 只 影 响 目 标 路 径 重 要 提 示 : 由 于 XDC 是 Tcl 程 序, 因 此 约 束 有 顺 序 关 系 Send Feedback 242

243 第 5 章 : 实 现 调 试 和 修 复 时 序 问 题 下 面 的 表 格 给 出 了 调 试 和 修 复 时 序 报 告 中 时 序 错 误 ( 如 有 ) 的 系 统 方 案 表 5-4 : 调 试 和 修 复 时 序 问 题 的 步 骤 步 骤 确 定 所 有 时 钟 及 其 关 系 都 被 正 确 定 义 确 定 时 钟 Skew 和 不 确 定 性 不 是 太 高 确 定 路 径 中 的 逻 辑 级 数 不 是 太 多 确 定 路 径 使 用 的 是 最 优 资 源 ( 单 元 / 引 脚 ) 确 定 没 有 过 多 不 必 要 的 控 制 集 检 查 网 络 是 否 具 有 极 高 的 扇 出 检 查 保 持 要 求 是 否 虚 高 具 体 内 容 章 节 定 义 时 钟 及 其 关 系 243 页 时 钟 Skew 和 不 确 定 性 235 页 数 据 路 径 延 迟 和 逻 辑 级 数 260 页 MMCM 频 率 综 合 260 页 控 制 集 272 页 识 别 高 扇 出 网 络 驱 动 器 275 页 确 定 Hold-Fixing 是 否 对 设 计 产 生 负 面 影 响 276 页 以 上 这 些 内 容 确 定 无 误 后, 应 检 查 剩 余 的 违 规 事 项 ( 参 见 : 第 278 页 的 快 速 分 析 所 有 伪 路 径 ), 以 确 定 涉 及 到 不 同 后 端 选 项 的 后 续 行 为 步 骤 包 括 在 所 有 方 案 都 失 败 后 通 过 手 动 创 建 平 面 布 局 图 定 义 基 线 (baseline) 约 束 如 果 您 对 时 钟 约 束 不 确 定, 可 利 用 Vivado IDE 在 合 后 的 网 表 上 创 建 完 整 的 时 钟 约 束 集 IDE 的 图 形 界 面 以 及 Vivado 设 计 套 件 的 报 告 功 能 可 准 确 显 示 应 该 约 束 什 么 内 容 步 骤 1 : 确 定 必 须 创 建 哪 些 时 钟 步 骤 2 : 确 认 没 有 时 钟 遗 漏 步 骤 3 : 识 别 异 步 时 钟 域 步 骤 1 : 确 定 必 须 创 建 哪 些 时 钟 首 先 将 综 合 后 的 网 表 或 检 查 点 加 载 到 Vivado IDE 中 在 Tcl 控 制 台 中 重 设 时 序, 以 确 保 删 除 所 有 时 序 约 束 这 样 就 能 确 定 控 制 台 (slate) 为 干 净 的 Send Feedback 243

244 第 5 章 : 实 现 然 后 生 成 一 个 时 钟 网 络 报 告, 以 创 建 一 个 完 整 的 主 时 钟 列 表 其 中 主 时 钟 必 须 在 设 计 中 定 义 好 这 个 时 钟 网 络 列 表 会 显 示 应 该 创 建 哪 些 时 钟 约 束 使 用 时 钟 创 建 向 导 为 每 个 时 钟 指 定 合 适 的 参 数 步 骤 2 : 确 认 没 有 时 钟 遗 漏 一 旦 时 钟 网 络 报 告 显 示 所 有 时 钟 网 络 都 已 设 置 了 约 束, 就 可 以 开 始 验 证 生 成 时 钟 的 精 确 性 由 于 Vivado 工 具 会 自 动 在 时 钟 修 改 模 块 ( 例 如 MMCM PLL 和 BUFGCTRL) 中 传 播 时 钟 约 束, 因 此 一 定 要 检 查 所 生 成 的 约 束 使 用 report_clocks 来 显 示 哪 些 时 钟 是 用 create_clock 约 束 创 建 的, 哪 些 时 钟 是 生 成 时 钟 report_timing 结 果 显 示 所 有 时 钟 都 被 传 播 主 时 钟 ( 用 create_clock 创 建 ) 与 生 成 时 钟 ( 由 时 钟 修 改 模 块 创 建 ) 之 间 的 区 别 在 属 性 字 段 中 显 示 仅 被 传 播 的 时 钟 (P) 为 原 时 钟 生 成 的 时 钟 在 显 示 时 既 可 以 是 传 播 (P) 也 可 以 是 生 成 (G) 您 还 可 以 使 用 creae_generated_clock 约 束 来 创 建 生 成 时 钟 如 需 了 解 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (UG 903) [ 参 考 资 料 14] 图 5-9 :Report_Clocks 显 示 了 从 母 时 钟 生 成 的 时 钟 步 骤 3 : 识 别 异 步 时 钟 域 一 旦 完 成 时 钟 约 束 验 证, 必 须 识 别 出 夸 路 径 的 异 步 时 钟 域 注 意 : 本 节 不 介 绍 如 何 正 确 跨 越 时 钟 域 边 界, 只 介 绍 如 何 识 别 哪 里 有 边 界 跨 越 以 及 如 何 约 束 Send Feedback 244

245 第 5 章 : 实 现 查 看 时 钟 域 交 互 情 况 的 最 佳 方 法 是 使 用 repot_clock_interaction 该 报 告 给 出 一 个 源 时 钟 和 目 标 时 钟 的 表 格 每 个 单 元 的 颜 色 指 明 相 应 行 列 代 表 的 时 钟 之 间 的 交 互 特 性 图 5-10 : 时 钟 交 互 报 告 实 例 给 出 了 一 个 时 钟 交 互 报 告 实 例 图 5-10 : 时 钟 交 互 报 告 实 例 时 序 收 敛 表 5-5 :report_clock_interaction 颜 色 介 绍 了 报 告 中 每 种 颜 色 的 含 义 表 5-5 :report_clock_interaction 颜 色 颜 色 含 义 备 注 黑 这 些 时 钟 域 中 无 交 互 主 要 用 于 参 考, 除 非 您 希 望 这 些 时 钟 域 交 互 绿 这 些 时 钟 域 间 有 交 互, 而 且 路 径 已 约 束 主 要 用 于 参 考, 除 非 您 不 希 望 这 些 时 钟 域 之 间 出 现 任 何 交 互 青 红 交 互 时 钟 域 的 某 些 路 径 因 用 户 自 定 义 异 常 没 有 被 定 时 时 钟 域 之 间 有 交 互, 而 且 路 径 已 被 约 束 但 是, 时 钟 似 乎 是 独 立 的 ( 因 此 是 异 步 的 ) 确 定 真 的 需 要 设 置 时 序 例 外 检 查 这 些 时 钟 是 否 应 被 声 明 为 异 步, 或 者 它 们 是 否 应 共 享 共 用 的 主 时 钟 源 Send Feedback 245

246 第 5 章 : 实 现 表 5-5 :report_clock_interaction 颜 色 颜 色 含 义 备 注 橙 蓝 浅 蓝 时 钟 域 之 间 有 交 互 时 钟 似 乎 是 独 立 的 ( 因 此 是 异 步 的 ) 但 是 只 有 部 分 路 径 因 异 常 而 未 被 定 时 时 钟 域 之 间 有 交 互, 而 且 路 径 没 有 被 定 时 这 些 时 钟 域 之 间 存 在 相 互 作 用 且 路 径 获 得 时 序 通 过 : set_max_delay -datapath only 检 查 为 什 么 只 有 少 数 路 径 为 用 户 自 定 义 异 常? 是 否 所 有 路 径 都 应 该 得 到 异 常 处 理? 确 认 这 些 时 钟 应 该 是 异 步 的 另 外, 检 查 相 应 的 HDL 代 码 是 否 正 确 编 写, 以 确 保 在 时 钟 域 之 间 实 现 正 确 同 步 和 可 靠 的 数 据 传 输 确 认 时 钟 处 于 异 步 状 态 且 设 定 的 延 迟 调 整 在 创 建 任 何 伪 路 径 或 时 钟 组 约 束 之 前, 表 格 中 出 现 的 颜 色 只 有 黑 红 和 绿 由 于 所 有 时 钟 在 默 认 情 况 下 都 被 定 时, 因 此 去 耦 异 步 时 钟 的 过 程 非 常 重 要 异 步 时 钟 去 耦 失 败 通 常 会 导 致 过 约 束 设 计 识 别 未 共 享 主 时 钟 的 时 钟 对 时 钟 交 互 报 告 指 出 每 个 交 互 时 钟 对 是 否 具 有 共 用 的 主 时 钟 源 不 共 享 共 用 主 时 钟 的 时 钟 对 经 常 是 彼 此 异 步 的 因 此, 应 使 用 共 用 主 时 钟 (Common Primary Clock) 字 段 理 报 告 中 的 各 列, 这 对 识 别 这 些 时 钟 对 很 有 帮 助 报 告 没 法 确 定 夸 路 径 的 时 钟 域 在 设 计 上 是 否 合 理 如 需 了 解 正 确 设 计 夸 路 径 时 钟 域 的 更 多 信 息, 敬 请 查 看 第 4 章 : 设 计 创 建 明 确 严 格 的 时 序 要 求 对 于 每 个 时 钟 对, 时 钟 交 互 报 告 还 会 显 示 源 时 钟 到 目 标 时 钟 之 间 所 有 路 径 的 路 径 要 求 按 路 径 要 求 (WNS) 对 列 排 序, 可 查 看 设 计 中 最 严 格 的 要 求 图 5-10 : 时 钟 交 互 报 告 实 例, 显 示 了 按 WNS 列 排 序 的 时 序 报 告 应 检 查 这 些 时 序 要 求 以 确 保 不 存 在 无 效 要 求 Send Feedback 246

247 第 5 章 : 实 现 Vivado 工 具 将 每 个 时 钟 扩 展 至 1000 个 时 钟 周 期, 然 后 确 定 哪 里 出 现 最 近 的 不 一 致 时 钟 沿 对 齐 情 况, 以 此 明 确 路 径 要 求 考 虑 一 条 从 250MHz 时 钟 到 200MHz 时 钟 的 时 序 路 径 200MHz 时 钟 的 正 沿 为 { } 250MHz 时 钟 的 正 沿 为 { } 以 下 情 况 会 对 时 钟 对 提 供 最 严 格 的 要 求 : 250MHz 时 钟 在 4ns 具 有 一 个 上 升 沿, 并 且 200MHz 时 钟 的 下 一 个 上 升 沿 在 5ns 这 会 导 致 从 250MHz 时 钟 域 到 200MHz 时 钟 域 的 所 有 路 径 被 定 时 在 1ns 注 意 : 在 20ns 的 同 步 时 钟 沿 在 本 例 中 不 是 最 严 格 要 求, 因 为 接 收 沿 不 能 与 发 送 沿 相 同 由 于 这 是 一 个 相 当 严 格 的 时 序 要 求, 因 此 必 须 采 取 其 它 步 骤 根 据 设 的 不 同, 下 面 的 要 求 之 一 可 能 成 为 处 理 这 些 跨 越 问 题 的 正 确 方 法 : false_path max_delay_path multicycle path 如 果 什 么 也 不 做, 设 计 可 能 会 出 现 跨 越 这 两 个 时 钟 域 的 时 序 违 规 问 题 此 外, 所 有 最 佳 优 化 布 局 布 线 等 最 终 可 能 被 专 门 用 于 这 些 路 径 而 不 是 设 计 中 的 关 键 路 径 因 此, 在 执 行 任 何 基 于 时 序 的 实 现 步 骤 之 前, 一 定 要 鉴 别 出 这 类 路 径 图 5-11 : 从 250MHz 到 200 MHz 的 时 钟 域 Send Feedback 247

248 第 5 章 : 实 现 使 用 Report_Clock_Networks 去 耦 主 时 钟 和 生 成 时 钟 在 创 建 时 序 例 外 之 前, 最 好 利 用 report_clock_networks 确 定 设 计 中 存 在 哪 些 主 时 钟 通 常 所 有 主 时 钟 都 是 彼 此 异 步 的, 如 果 是 这 样, 利 用 单 个 约 束 即 可 将 主 时 钟 去 耦, 并 去 耦 它 们 的 生 成 时 钟 在 report_clock_networks 中 使 用 主 时 钟 作 为 指 南, 对 每 个 时 钟 组 及 其 相 关 时 钟 进 行 去 耦, 如 图 5-12 : 报 告 时 钟 网 络 中 所 示 图 5-12 : 报 告 时 钟 网 络 ### Decouple asynchronous clocks set_clock_groups -asynchronous \ -group [get_clocks sysclk -include_generated_clocks] \ -group [get_clocks gt0_txusrclk_i -include_generated_clocks] \ -group [get_clocks gt2_txusrclk_i -include_generated_clocks] \ -group [get_clocks gt4_txusrclk_i -include_generated_clocks] \ -group [get_clocks gt6_txusrclk_i -include_generated_clocks] 限 制 I/O 约 束 和 时 序 例 外 大 部 分 时 序 违 规 都 存 在 于 内 部 路 径 上 在 首 次 基 准 (baseline) 设 计 反 复 过 程 中 无 需 I/O 约 束, 尤 其 对 于 发 送 和 接 收 寄 存 器 位 于 I/O bank 内 部 的 I/O 时 序 路 径 来 说 更 不 需 要 I/O 约 束 一 旦 设 计 及 其 它 约 束 已 稳 定 并 且 时 序 接 近 收 敛,I/O 时 序 约 束 就 可 以 被 添 加 回 来 根 据 RTL 设 计 师 的 建 议, 必 须 对 时 序 例 外 加 以 限 制, 而 且 不 能 用 来 隐 藏 真 正 的 时 序 问 题 这 个 时 候, 时 钟 之 间 的 伪 路 径 或 时 钟 组 必 须 已 经 过 检 查 并 最 终 确 定 必 须 完 全 保 留 IP 约 束 若 IP 时 约 束 丢 失, 已 知 的 伪 路 径 会 被 报 告 为 时 序 违 规 在 每 个 步 骤 前 后 评 估 设 计 WNS 必 须 在 每 个 实 现 步 骤 之 后 评 估 设 计 的 WNS Tcl 用 户 可 利 用 Tcl 命 令 行 流 程 在 每 个 实 现 步 骤 之 后 将 report_timing_summary 轻 松 整 合 到 他 们 的 构 建 脚 本 中 IDE 用 户 可 利 用 简 单 的 tcl.post 脚 本 在 每 个 Send Feedback 248

249 第 5 章 : 实 现 步 骤 后 运 行 report_timing_summary 在 两 种 情 况 下, 当 发 现 WNS 明 显 变 差 时, 都 必 须 在 实 施 本 步 骤 之 前 立 即 对 检 查 点 进 行 分 析 除 了 在 每 个 实 现 步 骤 前 后 评 估 整 个 设 计 的 时 序 之 外, 还 可 采 取 面 向 各 条 路 径 的 更 有 针 对 性 的 方 案, 以 评 估 流 程 中 每 个 步 骤 对 时 序 的 影 响 例 如, 某 个 时 序 路 径 在 优 化 后 的 估 计 网 络 延 迟 可 能 与 该 路 径 在 布 局 后 的 估 计 网 络 延 迟 存 在 很 大 差 异 在 每 个 步 骤 后 比 较 关 键 路 径 的 时 序 是 找 出 关 键 路 径 时 序 在 哪 里 出 现 收 敛 偏 离 的 有 效 方 法 综 合 后 与 逻 辑 优 化 后 估 计 网 络 延 迟 接 近 于 所 有 路 径 的 最 佳 布 局 用 下 列 任 意 方 法 修 复 违 规 路 径 : 修 改 RTL 使 用 不 同 的 综 合 选 项 添 加 时 序 例 外, 例 如 多 周 期 路 径 ( 如 果 对 于 在 硬 件 中 的 功 能 来 说 是 可 适 用 的 安 全 的 ) 布 局 前 后 在 布 局 后, 除 了 采 用 更 悲 观 延 迟 的 长 距 离 和 中 高 扇 出 网 络 以 外, 估 计 网 络 延 迟 接 近 于 最 佳 布 线 此 外, 拥 塞 或 保 持 修 复 的 影 响 未 计 算 在 网 络 延 迟 中, 这 让 时 序 结 果 比 较 乐 观 精 确 估 计 时 钟 Skew 并 用 于 检 查 不 均 衡 时 钟 树 对 时 序 裕 量 的 影 响 通 过 最 小 延 迟 分 析 来 估 计 保 持 修 复 (hold fixing) 如 果 违 规 程 度 较 大 需 要 对 时 钟 树 进 行 修 改 如 果 违 规 程 度 较 低, 可 以 接 受 而 且 还 有 可 能 被 布 线 器 修 复 物 理 优 化 前 后 修 复 以 下 相 关 时 序 问 题 之 前 先 评 估 执 行 物 理 优 化 的 必 要 性 : 具 有 高 扇 出 的 网 络 (report_high_fanout_nets 显 示 最 高 扇 出 非 时 钟 网 络 ) 目 标 距 离 较 远 的 网 络 流 水 线 寄 存 器 的 使 用 为 次 优 化 的 DSP 和 RAMB Send Feedback 249

250 第 5 章 : 实 现 布 线 前 和 布 线 后 报 告 实 际 布 线 的 网 路 延 迟 ( 除 了 还 没 有 被 完 全 布 线 的 网 络 ) 的 时 序 裕 量 时 序 裕 量 反 映 出 保 持 修 复 对 建 立 以 及 拥 塞 的 影 响 即 便 是 最 差 建 立 裕 量 (WNS) 值, 布 线 后 也 不 应 出 现 保 持 违 规 如 果 设 计 保 持 失 败, 就 需 要 进 一 步 分 析 这 一 般 由 非 常 严 重 的 布 线 拥 塞 引 起, 此 时 布 线 器 放 弃 对 时 序 进 行 优 化 这 也 会 发 生 于 高 保 持 违 规 ( 超 过 4ns) 的 情 况, 这 种 情 况 下 布 线 器 不 进 行 默 认 修 复 高 保 持 违 规 通 常 是 由 不 正 确 的 时 钟 约 束 高 时 钟 Skew, 或 不 正 确 的 I/O 约 束 造 成, 本 应 在 布 局 甚 至 综 合 后 即 可 得 到 解 决 如 果 保 持 得 到 满 足 (WHS>0), 但 是 建 立 失 败 (WNS<0), 应 遵 循 以 下 所 述 的 分 析 步 骤 确 定 时 序 违 规 的 根 源 基 于 时 序 的 算 法 侧 重 于 最 严 重 的 违 规 了 解 并 修 复 最 严 重 违 规 相 关 的 问 题 很 可 能 解 决 绝 大 部 分 较 小 的 违 规 为 进 行 建 立, 必 须 首 先 分 析 每 个 时 钟 组 的 最 严 重 违 规 时 钟 组 = 由 特 定 时 钟 捕 获 到 的 所 有 部 路 径 时 序 域 间 路 径 和 异 步 路 径 为 进 行 保 持, 必 须 从 最 严 重 的 开 始 检 查 所 有 违 规 时 序 路 径 资 源 报 告 对 比 器 件 芯 片 资 源 为 简 化 起 见, 时 序 路 径 描 述 依 据 综 合 器 网 表 资 源 和 网 络 名 称 实 际 上 Vivado 工 具 会 插 补 该 路 径, 并 使 用 器 件 资 源 插 入 资 源 生 成 该 功 能 图 5-13 : 路 径 时 序 报 告 在 上 例 中, 源 时 钟 路 径 (IBUF>MMCM>BUFG) 连 接 是 使 用 路 径 中 未 提 及 的 BUFH 资 源 创 建 的 贯 Send Feedback 250

251 第 5 章 : 实 现 穿 BUFH 的 布 线 延 迟 会 计 算 在 总 累 计 延 迟 值 中 典 型 的 BUFH 连 接 可 能 以 如 下 形 式 显 示 : 图 5-14 : 实 际 物 理 路 径 在 图 5-14 : 实 际 物 理 路 径 中,BUFH 负 责 驱 动 单 个 时 钟 区 域 内 的 水 平 全 局 时 钟 树 主 干 如 需 了 解 更 多 信 息, 敬 请 参 阅 第 4 章 设 计 创 建 如 果 源 元 件 和 目 标 元 件 处 于 不 同 的 时 钟 区 域 内, 需 使 用 两 个 不 同 的 BUFH 组 件 如 果 这 两 种 元 件 处 于 相 同 的 时 钟 域 ( 并 以 相 同 的 BUFH 为 时 钟 源 ), 在 计 算 时 钟 Skew 时 应 将 时 序 分 时 钟 参 考 点 回 溯 到 共 同 节 点 (BUFH) 加 以 分 析 时 钟 Skew 与 不 确 定 性 赛 灵 思 FPGA 器 件 使 用 各 类 布 线 资 源 支 持 大 部 分 常 用 时 钟 方 案 与 要 求, 例 如 高 扇 出 时 钟 短 传 播 延 迟 和 极 低 的 Skew 时 钟 Skew 会 影 响 任 何 具 有 组 合 逻 辑 或 互 联 的 寄 存 器 至 寄 存 器 路 径 建 议 : 使 用 Tcl 控 制 台 (report_clock_utilization) 运 行 时 钟 资 源 利 用 报 告 功 能 以 生 成 时 序 报 告 时 钟 部 分 具 体 包 含 全 局 区 域 和 局 部 时 钟 的 时 钟 Skew 验 证 时 钟 网 络 不 包 含 过 度 时 钟 Skew Details of Global Clocks Num Loads Index BUFG cell Net Name BELs Sites Locked MaxDelay (ns) Skew (ns) clkgen/clkf_buf clkgen/clkfbout_buf 1 1 no Send Feedback 251

252 第 5 章 : 实 现 高 性 能 时 钟 域 ( 超 过 300 MHz) 的 时 钟 Skew 会 影 响 性 能 时 钟 Skew 不 应 超 过 周 期 的 15% 在 300MHz 的 情 况 下, 单 个 时 钟 域 中 的 最 大 Skew 为 500ps 在 跨 域 时 钟 路 径 中 Skew 可 能 更 高, 因 为 每 个 时 钟 域 相 互 无 关 联 SDC 约 束 保 持 对 所 有 时 钟 进 行 定 时, 而 将 其 进 行 特 别 定 义 的 情 况 除 外 (set_false_paths) 如 果 您 怀 疑 高 时 钟 Skew, 可 在 Vivado IDE 中 对 该 路 径 执 行 时 序 分 析, 并 创 建 原 理 图 来 研 究 时 钟 拓 扑 调 试 具 有 高 时 钟 Skew 的 时 序 报 告 首 先 必 须 了 解 源 时 钟 和 目 标 时 钟 以 及 它 们 的 关 系 : 当 源 时 钟 与 目 标 时 钟 相 同 时 当 源 时 钟 与 目 标 时 钟 不 相 同 时 ( 不 安 全, 不 相 关 ) 当 源 时 钟 和 目 标 时 钟 相 同 时 当 源 时 钟 和 目 标 时 钟 相 同 ( 同 步 时 钟 ) 或 为 自 动 派 生 关 系 时, 该 工 具 使 用 时 钟 路 径 上 的 共 用 节 点 来 确 定 时 钟 Skew 所 有 同 步 路 径 都 包 含 一 个 共 用 节 点 当 根 据 时 序 报 告 分 析 时 钟 路 径 时, 由 于 报 告 结 果 是 Skew 计 算 的 汇 总, 因 此 可 能 难 以 确 定 共 用 节 点 在 器 件 视 图 中 的 准 确 位 置 当 源 时 钟 和 目 标 时 钟 不 相 同 时 ( 不 安 全, 不 相 关 ) 当 源 时 钟 和 目 标 时 钟 不 相 同 时, 该 工 具 将 时 钟 传 播 回 共 用 驱 动 器 以 确 定 时 钟 Skew 在 Vivado 工 具 中, 所 有 路 径 都 被 自 动 定 时 该 工 具 为 跨 越 时 钟 域 的 路 径 派 生 时 序 约 束 如 需 了 解 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 使 约 束 (UG903) [ 参 考 资 料 14] 对 于 采 用 BUFGMUX 的 多 路 复 用 时 钟,Vivado 工 具 将 所 有 输 入 时 钟 传 播 到 输 出 端 时 钟 树 上 可 同 时 存 在 多 个 时 序 时 钟, 这 样 便 于 一 次 报 告 所 有 运 行 模 式, 但 在 硬 件 中 不 可 能 实 现 这 些 时 钟 必 须 通 过 set_clock_groups 在 不 同 组 中 声 明 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 使 用 约 束 (UG903) [ 参 考 资 料 14] 分 析 时 钟 路 径 的 最 佳 方 法 是 使 用 Vivado IDE 中 的 原 理 图 查 看 器, 并 用 时 序 报 告 进 行 交 叉 追 踪 Send Feedback 252

253 第 5 章 : 实 现 导 致 高 时 钟 Skew 的 原 因 高 时 钟 Skew 可 能 由 如 下 原 因 导 致 : 时 钟 信 号 来 自 门 控 逻 辑 源 串 联 的 BUFG 组 件 驱 动 同 步 元 件 BUFG 驱 动 同 步 元 件 IBUFG 驱 动 多 个 MMCM( 关 联 时 钟 ) BUFG 驱 动 寄 存 器 元 件 和 MMCM( 关 联 时 钟 ) BUFR/BUFIO/BUFH 驱 动 数 个 不 同 时 钟 域 中 的 寄 存 器 元 件 使 用 CLOCK_DEDICATED_ROUTE=FALSE 约 束 时 钟 信 号 来 自 门 控 逻 辑 源 不 建 议 使 用 这 种 方 法, 因 为 会 导 致 过 的 时 钟 Skew 由 于 门 控 逻 辑 驱 动 器 缓 冲 器 不 直 接 接 入 全 局 时 钟 线 路, 因 此 它 使 用 的 是 局 部 结 构 布 线 资 源 尽 管 有 些 情 况 下 门 控 逻 辑 可 连 接 至 BUFG, 但 还 是 会 导 致 严 重 的 布 线 延 迟 查 看 report_clock_utilization 结 果, 了 解 过 度 的 时 钟 Skew 当 检 验 时 钟 报 告 时, 可 能 存 在 由 布 局 布 线 算 法 自 动 插 入 的 附 加 高 Skew 时 钟 这 是 防 止 未 使 用 的 时 钟 生 成 器 中 芯 片 长 期 处 于 亚 稳 态 的 常 用 做 法 时 钟 在 低 频 范 围 内 运 行, 比 占 用 资 源 极 少, 而 且 不 影 响 设 计 性 能 图 5-15 : 时 钟 网 络 局 部 布 线 引 起 的 歪 斜 Send Feedback 253

254 第 5 章 : 实 现 在 图 5-15 : 时 钟 网 络 局 部 布 线 引 起 的 Skew 中, 第 一 个 BUFG (clk1_buf) 用 于 LUT3, 以 创 建 门 控 时 钟 条 件 不 建 议 采 用 这 种 做 法 为 满 足 这 一 要 求, 需 使 用 较 慢 的 局 部 布 线 连 接 模 块 gc_ noisegen 中 的 第 二 个 BUFG 由 综 合 算 法 自 动 插 入 串 联 的 BUFG 组 件 驱 动 同 步 元 件 当 添 加 综 合 IP 网 表 时, 应 验 证 综 合 工 具 插 入 的 全 局 时 钟 缓 冲 器 数 是 否 正 确 导 入 黑 盒 IP 时 的 一 个 常 见 的 错 误 是 当 检 测 到 连 接 某 个 单 元 CLK 端 口 的 信 号 时, 综 合 器 会 自 动 插 入 一 个 BUFG 如 果 下 游 黑 盒 IP 包 含 一 个 全 局 时 钟 缓 冲 器, 那 么 这 两 个 BUFG 组 件 会 加 大 时 钟 Skew 程 度 图 5-16 : 级 联 BUFG 产 生 的 Skew 在 以 上 实 例 中, 寄 存 器 时 钟 引 脚 处 的 时 钟 网 络 延 迟 为 2.362ns 如 果 BUFG 不 由 MMCM 驱 动, 则 没 有 需 要 补 偿 的 PVT 和 架 构 Skew 提 示 : 如 果 有 外 的 MMCM 可 用, 可 以 用 来 减 少 时 钟 Skew BUFG 驱 动 同 步 元 件 每 个 时 钟 域 均 包 含 相 同 的 时 钟 布 线 源 时 钟 引 脚 和 目 标 时 钟 引 脚 在 时 钟 树 上 的 相 对 位 置 决 定 了 时 钟 Skew 的 差 异 如 果 来 自 共 同 节 点 的 源 时 钟 和 目 标 时 钟 延 迟 相 同, 那 么 时 钟 Skew 将 会 达 到 最 小 如 果 源 时 钟 和 目 标 时 钟 位 于 不 同 时 钟 域 或 不 同 SLR 中, 那 么 时 钟 Skew 经 常 会 高 于 正 常 值 使 源 时 钟 和 目 标 时 钟 保 持 在 一 个 时 钟 域 内 有 助 于 最 大 限 度 地 减 少 时 钟 Skew AREA GROUPS 或 PBLOCKS 可 强 制 将 源 时 钟 和 目 标 时 钟 元 件 放 在 相 同 时 钟 域 内 Send Feedback 254

255 第 5 章 : 实 现 图 5-17 : 源 时 钟 和 目 标 时 钟 位 于 相 同 时 钟 域 内 实 现 的 低 Skew 在 图 5-17 : 源 时 钟 和 目 标 时 钟 在 相 同 时 钟 域 内 实 现 的 低 Skew 中, 源 寄 存 器 时 钟 引 脚 处 的 时 钟 网 络 延 迟 为 1.290ns, 目 标 寄 存 器 时 钟 引 脚 处 的 时 钟 网 络 延 迟 则 为 1.275ns 这 使 得 时 钟 歪 斜 仅 为 15ps(PVT) 该 时 钟 网 络 所 有 目 标 位 置 的 最 大 Skew 为 0.287ps Num Loads Index BUFG cell Net Name BELs Sites Locked MaxDelay (ns) Skew (ns) clkgen/clkout1_buf clkgen/cpuclk_o no IBUFG 驱 动 具 有 多 个 输 出 的 单 个 MMCM( 相 关 时 钟 ) 周 期 约 束 在 驱 动 器 引 脚 或 树 根 端 口 处 定 义 如 果 时 钟 信 号 驱 动 一 个 MMCM 生 成 多 个 通 用 输 出 频 率, 那 么 每 个 相 关 联 时 钟 的 Skew 对 输 出 BUFG 是 相 同 的 源 时 钟 和 目 标 时 钟 可 能 位 于 不 同 时 钟 域 如 果 这 影 响 您 的 时 序 性 能, 赛 灵 思 建 议 您 使 用 AREA GROUPS 或 PBLOCKS 在 上 面 的 实 例 中, 两 个 时 钟 域 之 间 的 时 钟 网 络 Skew 为 36ps 提 示 : 时 钟 向 导 (Clocking Wizard) 能 根 据 您 的 时 钟 要 求 提 供 性 能 指 南 ( 抖 动 和 相 位 误 差 ) IBUFG 驱 动 多 个 MMCM( 相 关 时 钟 ) 赛 灵 思 建 议 尽 可 能 使 用 简 化 的 时 钟 拓 扑 压 缩 时 钟 域 数 量 有 助 于 改 善 性 能 资 源 利 用 和 时 序 收 敛 提 示 : 若 使 用 的 MMCM 输 出 BUFG 驱 动 它 MMCM 与 寄 存 器, 一 定 要 小 心 额 外 的 BUFG 会 导 致 更 多 Skew BUFG 驱 动 寄 存 器 元 件 和 MMCM( 相 关 时 钟 ) 如 果 可 能, 应 确 保 MMCM CLKIN BUFG 只 用 于 驱 动 MMCM 可 使 用 MMCM 的 CLK0 输 出 驱 动 寄 存 Send Feedback 255

256 第 5 章 : 实 现 的 元 件 MMCM 可 实 现 PVT 下 的 时 钟 稳 定 性, 而 BUFG 则 不 能 考 虑 到 当 今 设 计 的 复 杂 性, 时 序 引 擎 有 可 能 在 下 游 某 个 位 置 检 测 到 设 计 人 员 无 法 检 测 到 的 夸 域 的 钟 路 径 在 图 5-18 中 用 输 入 时 钟 监 控 MMCM 的 时 钟 信 号 在 时 钟 源 被 中 断 时, 通 常 会 这 么 做 信 号 clockref 上 的 资 源 数 量 为 最 小 值 图 5-18 : 由 MMCM 驱 动 的 时 钟 BUFR/BUFIO/BUFH 驱 动 多 个 时 钟 域 中 的 寄 存 器 元 件 clock_report_utilization 会 报 告 所 有 类 型 的 区 域 时 钟 缓 冲 器 核 实 每 个 区 域 时 钟 的 时 钟 Skew 是 合 理 的 (<< 1ns.) 在 下 面 的 实 例 中,BUFR 时 钟 Skew 非 常 高, 并 显 示 目 标 元 件 违 反 了 时 钟 规 则 (BUFR 只 能 驱 动 它 所 在 区 域 的 资 源 ) Details of Regional Clocks Num Loads Index BUFR cell Net Name BELs Sites Locked MaxDelay (ns) Skew (ns) u0_pcie/txoutclk_i u0_pcie/refclk 1 2 no u0_pcie/usrclk1_i1 u0_pcie/pipe_userclk1_in no u0_pcie/usrclk2_i1 u0_pcie/pipe_userclk2_in no u0_pcie/pclk_i1 u0_pcie/pipe_bclk_in no Send Feedback 256

257 第 5 章 : 实 现 图 5-19 :BUFR 驱 动 两 个 区 域 内 的 触 发 器 使 用 CLOCK_DEDICATED_ROUTE=FALSE 约 束 不 要 在 生 产 设 计 中 使 用 CLOCK_DEDICATED_ROUTE=FALSE 约 束 CLOCK_DEDICATED_ROUTE=FALSE 仅 作 为 处 理 时 钟 故 障 的 临 时 方 案, 只 为 获 得 布 局 布 线 后 的 设 计 并 在 器 件 和 原 理 图 查 看 器 中 查 看 时 钟 拓 扑, 以 便 进 行 调 试 此 类 路 径 具 有 高 时 钟 Skew, 可 导 致 较 差 的 性 能 或 设 计 功 能 无 法 实 现 在 图 5-20 : 时 钟 专 用 布 线 的 使 用 中, 右 侧 采 用 专 用 时 钟 布 线, 而 左 侧 的 时 钟 则 禁 用 专 用 布 线 Send Feedback 257

258 第 5 章 : 实 现 图 5-20 : 时 钟 专 用 布 线 的 使 用 造 成 高 度 不 确 定 的 原 因 不 确 定 性 是 指 因 特 定 用 户 外 部 时 钟 不 确 定 性 抖 动 或 占 空 比 失 真 引 起 的 总 体 不 确 定 性 ( 相 对 于 理 想 时 钟 而 言 ) MMCM 和 PLL 等 时 钟 模 块 会 导 致 时 钟 不 确 定 性 时 钟 向 导 (Clocking Wizard) 可 为 特 定 器 提 供 准 确 的 不 确 定 性 数 据 时 钟 向 导 还 能 生 成 用 于 比 较 不 同 拓 扑 结 构 的 各 种 MMCM 时 钟 配 置 使 用 尚 未 移 植 到 新 器 件 架 构 的 原 有 代 码 为 旧 的 FPGA 架 构 创 建 时 钟 拓 扑, 是 常 见 的 事 赛 灵 思 建 议 使 用 目 标 器 件 重 新 创 建 时 钟 环 节, 以 计 算 并 验 证 系 统 性 能 参 数 和 DRC 规 则 MMCM MMCM 在 重 新 生 成 所 需 时 钟 时 可 过 滤 输 入 时 钟 不 确 定 性 如 果 使 用 多 个 相 关 时 钟,MMCM 会 产 生 系 统 抖 动 离 散 抖 动 和 相 位 误 差 等 一 些 时 钟 不 确 定 性 设 计 中 的 相 位 对 齐 不 会 影 响 系 统 性 能, 如 图 5-21 :MMCM 无 相 位 误 差 影 响 所 示 的 由 单 个 MMCM 的 输 出 进 行 时 钟 控 制 的 逻 辑 将 不 会 受 到 影 响 Send Feedback 258

259 第 5 章 : 实 现 图 5-21 :MMCM 不 受 相 位 误 差 的 影 响 MMCM 和 I/O 时 序 如 果 设 计 中 MMCM 的 输 入 与 输 出 之 间 的 相 位 对 齐 比 较 重 要, 那 么 对 此 类 设 计 进 行 检 查 以 确 保 所 有 时 序 约 束 仍 然 满 足 ( 即 set_input_delay 和 set_output_delay) 见 图 5-22 :MMCM 的 相 位 对 齐 可 能 影 响 时 序 图 5-22 :MMCM 的 相 位 对 齐 可 能 影 响 时 序 Send Feedback 259

260 第 5 章 : 实 现 MMCM 频 率 综 合 当 针 对 频 率 综 合 配 置 MMCM 时, 目 标 频 率 可 能 具 有 若 干 M( 乘 法 器 ) 和 D( 除 法 器 ) 值 为 最 大 限 度 降 低 时 钟 不 确 定 性, 应 使 用 能 生 成 更 高 VCO 频 率 的 值, 记 住 不 要 超 出 器 件 的 最 大 MMCM VCO 频 率 开 关 特 性 如 果 您 正 在 将 设 计 从 较 老 的 技 术 中 移 植 出 来, 一 定 要 修 改 M 和 D 值, 以 便 为 当 前 技 术 提 供 最 高 VCO 频 率 下 面 的 MMCM 频 率 综 合 实 例 使 用 62.5MHz 的 输 入 时 钟 来 生 成 40MHz 左 右 的 输 出 时 钟 有 两 种 方 案, 但 只 有 一 种 方 案 (MMCM_2) 产 生 的 抖 动 较 小, 时 钟 不 确 定 性 也 很 低 表 5-6 :MMCM 频 率 综 合 实 例 MMCM_1 MMCM_2 输 入 时 钟 62.5 MHz 62.5 MHz 输 出 时 钟 40.0 MHz MHz CLKFBOUT_MULT_F CLKOUT0_DIVIDE_F VCO 频 率 MHz 抖 动 (ps) 相 位 误 差 (ps) 当 使 用 IP Catalog 中 的 时 钟 向 导 (Clocking Wizard) 时, 确 保 将 抖 动 优 化 设 置 (Jitte Optimization Setting) 设 定 为 最 小 输 出 抖 动 (Minimum Output Jitter), 这 样 将 提 供 更 高 的 VCO 频 率 数 据 路 径 延 迟 和 逻 辑 级 数 路 径 中 的 LUT 数 量 一 般 来 说 最 重 要 如 果 路 径 延 迟 主 要 是 : 50%-100% 单 元 延 迟 路 径 是 否 可 被 改 短 或 者 使 用 更 快 的 逻 辑 单 元 ( 见 技 术 选 择 )? 50%-100% 布 线 延 迟 路 径 是 否 受 到 保 持 修 复 影 响?( 使 用 相 应 的 分 析 技 术 ) - 是 受 影 响 的 网 络 是 否 为 CDC 路 径? - 是 CDC 路 径 是 否 丢 失 一 个 约 束? - 否 保 持 修 复 后 路 径 的 起 点 和 终 点 是 否 使 用 平 衡 时 钟 树? 否 见 下 面 的 拥 塞 内 容 Send Feedback 260

261 第 5 章 : 实 现 该 路 径 是 否 受 拥 塞 影 响 => 查 看 每 个 网 络 延 迟 和 扇 出, 并 观 察 Device 视 图 ( 打 开 布 线 细 节 ) 中 的 布 线 ( 仅 布 线 后 分 析 ) 还 可 打 开 拥 塞 指 标 以 查 看 路 径 是 否 位 于 或 靠 近 拥 塞 区 域 是 对 于 具 有 最 高 延 迟 值 的 网 络, 扇 出 是 否 比 较 低 (<10)? 是 如 果 布 线 看 起 来 很 理 想 ( 直 线 ), 但 驱 动 器 与 负 载 离 得 较 远, 那 么 拥 塞 与 布 局 不 够 理 想 有 关 尝 试 手 动 移 动 驱 动 器 或 负 载, 并 对 相 同 路 径 重 新 运 行 时 序 分 析, 以 查 看 时 序 裕 量 是 否 得 到 改 进 而 且 没 有 对 其 它 路 径 造 成 不 良 影 响 在 针 对 多 个 网 络 实 施 相 同 操 作 之 后, 应 建 立 布 局 规 划 约 束, 以 确 保 下 次 运 行 此 实 现 工 具 时 可 找 到 类 似 的 布 局 解 决 方 案 否 尝 试 使 用 物 理 逻 辑 优 化 来 复 制 网 络 的 驱 动 器 复 制 后, 每 个 驱 动 器 可 自 动 放 在 离 负 载 更 近 的 位 置, 从 而 缩 短 整 体 数 据 路 径 延 迟 否 设 计 展 开 过 度 研 究 布 局 规 划 方 案, 以 便 根 据 设 计 特 定 部 分 与 I/O 组 件 ( 如 果 有 ) 或 其 它 特 定 定 位 点 的 连 接 情 况 来 识 别 设 计 中 哪 些 部 分 必 须 保 持 在 特 定 区 域 详 见 布 局 规 划 部 分 检 查 技 术 选 择 必 须 要 知 道 设 计 与 综 合 的 选 择 如 何 对 设 计 的 整 体 时 序 资 源 利 用 和 功 耗 产 生 影 响 通 常 可 采 用 众 多 不 同 类 型 的 资 源 来 实 现 同 一 逻 辑 功 能, 而 且 资 源 的 选 择 会 对 结 果 产 生 明 显 影 响 例 如, 分 采 用 布 式 RAM 实 现 在 RAM 与 采 用 Block RAM 实 现 的 RAM 在 性 能 方 面 截 然 不 同 若 在 设 计 中 注 重 技 术 细 节, 就 可 以 做 出 很 好 的 权 衡 以 提 高 结 果 质 量 逻 辑 架 构 由 可 配 置 的 模 块 构 建 而 成, 每 个 模 块 共 享 相 同 的 控 制 信 号 最 小 的 模 块 单 元 为 Slice 或 CLB( 可 配 置 逻 辑 块 ), 具 体 视 架 构 而 定 下 面 将 对 CLB 结 逻 辑 进 行 探 讨, 但 考 虑 到 特 定 技 术 时 除 外, 例 如 采 用 Slice 的 赛 灵 思 系 列 FPGA 器 件 除 了 时 钟 外, 时 序 基 元 还 需 要 复 位 置 位 和 时 钟 使 能 等 控 制 信 号 由 于 很 多 资 源 共 享 相 同 的 控 制 信 号, 因 此 会 限 制 控 制 信 号 的 使 用 控 制 信 号 使 用 效 率 低 下 会 导 致 器 件 资 源 利 用 率 低 下 和 逻 辑 封 装 使 用 效 率 低 下, 进 而 导 致 其 它 问 题, 例 如 布 线 拥 塞 以 及 Slice 和 CLB 的 过 度 使 用 本 节 概 括 介 绍 了 组 合 逻 辑 与 时 序 逻 辑 资 源 的 选 择 以 及 控 制 信 号 实 现 的 影 响 尽 管 给 出 的 实 例 和 数 据 基 于 赛 灵 思 7 系 列 FPGA 的 一 般 特 性, 但 以 后 的 技 术 也 可 以 采 用 类 似 的 分 析 如 需 了 解 有 关 时 Send Feedback 261

262 第 5 章 : 实 现 序 参 数 的 详 情, 敬 请 参 见 器 件 说 明 书 中 的 AC 开 关 特 性 组 合 逻 辑 :LUT 引 脚 延 迟 并 不 是 所 有 通 过 LUT 的 路 径 都 具 有 相 同 的 延 迟 在 时 序 报 告 中, 每 个 输 入 引 脚 延 迟 看 上 去 都 相 同, 但 通 向 每 个 引 脚 的 网 络 延 迟 中 都 整 合 了 一 些 额 外 的 线 路 延 迟 这 是 由 相 关 互 联 的 物 理 实 现 方 案 引 起 的 尽 管 该 工 具 在 实 现 逻 辑 时 力 求 使 关 键 信 号 能 使 用 最 快 的 输 入, 但 了 解 这 个 方 面 还 是 有 助 于 改 善 复 杂 时 序 故 障, 以 及 分 析 次 优 化 LOCK_PINS 约 束 LUT 可 被 描 述 为 逻 辑 引 脚 或 物 理 引 脚 逻 辑 引 脚 被 命 名 为 I0 I1 I2 I3 I4 和 I5, 并 反 映 网 表 连 接 在 时 序 报 告 中 也 有 命 名 物 理 引 脚 的 命 名 为 A1 A2 A3 A4 A5 和 A6, 也 可 能 用 不 同 字 母 B C 或 D, 这 要 取 决 于 所 用 的 BEL 物 理 引 脚 代 表 实 际 器 件 引 脚, 而 且 一 般 只 有 当 分 析 器 件 级 的 物 理 实 现 时 才 能 看 到 逻 辑 引 脚 通 过 由 布 局 器 布 线 器 或 根 据 LOCK_PINS 属 性 选 择 的 映 射 方 案 被 映 射 到 物 理 引 脚 通 常, 物 理 A6 LUT 输 入 是 最 快 的 路 径, 其 次 是 A5 A4 等, 最 慢 的 是 A1 A6 路 径 一 般 比 通 过 A1 的 最 慢 路 径 快 几 百 皮 秒 对 于 每 个 LUT, 可 在 布 局 后 看 到 逻 辑 引 脚 到 物 理 引 脚 之 间 的 映 射 例 如,LUT6 具 有 如 下 引 脚 映 射 ( 如 单 元 属 性 的 Cell Pin 标 签 中 所 示 的 默 认 引 脚 映 射 ), 或 者 使 用 get_site_pins 命 令 实 现 的 引 脚 映 射 图 5-23 :LUT 逻 辑 与 物 理 引 脚 Send Feedback 262

263 第 5 章 : 实 现 BEL Pin 列 给 出 了 从 逻 辑 引 脚 映 射 的 物 理 引 脚, 如 下 所 示 : I0 映 射 到 A1 I1 映 射 到 A2... I5 映 射 到 A6 在 实 现 阶 段, 布 局 物 理 优 化 和 布 线 过 程 可 交 换 LUT 输 入 引 脚 以 优 化 关 键 路 径 时 序 关 键 时 序 逻 辑 引 脚 被 移 动 更 快 速 的 物 理 引 脚 上 ( 例 如 A6), 而 较 慢 的 逻 辑 引 脚 则 移 动 到 较 慢 的 物 理 引 脚 对 于 穿 越 多 个 LUT 的 关 键 路 径 来 说, 使 用 最 快 物 理 引 脚 和 最 慢 物 理 引 脚 之 间 的 区 别 非 常 明 显 引 脚 交 换 可 通 过 设 置 单 元 上 的 LOCK_PINS 属 性 并 定 义 其 显 式 映 射 来 覆 盖 组 合 逻 辑 : 组 合 LUT 赛 灵 思 7 系 列 FPGA 器 件 的 逻 辑 LUT 在 设 计 上 比 较 灵 活, 可 支 持 一 个 以 上 的 6 输 入 函 数 它 有 O6 和 O5 两 个 输 出, 允 许 将 两 个 逻 辑 LUT 函 数 进 行 组 合 以 适 应 单 个 资 源 内 部 逻 辑 表 达 式 中 包 含 两 个 共 享 共 用 输 入 的 5 输 入 LUT 一 个 LUT 用 来 生 成 O5 输 出, 同 时 O6 将 LUT5 函 数 与 第 六 个 输 入 A6 组 合 图 5-24 : 来 自 相 同 LUT6 的 多 个 输 出 Send Feedback 263

264 第 5 章 : 实 现 以 下 是 LUT 组 合 的 实 例 : 完 全 不 相 关 的 LUT2 和 LUT3 两 个 LUT3, 至 少 有 一 个 共 用 输 入 两 个 LUT4, 至 少 有 两 个 共 用 输 入 两 个 LUT5, 全 部 共 用 输 入 一 个 LUT5 和 LUT6, 其 中 LUT6 与 LUT5 组 合, 生 成 O5 输 出 和 A6 输 入 由 于 最 快 的 A6 输 入 专 门 用 于 O6 多 路 复 用, 因 此 一 定 要 知 道 当 LUT 被 组 合 时 其 使 用 会 受 到 限 制 A6 引 脚 或 者 保 持 未 使 用 状 态, 或 者, 如 果 组 合 LUT 包 含 一 个 6 输 入 函 数,A6 引 脚 必 须 用 于 非 共 用 输 入 时 序 逻 辑 : 寄 存 器 寄 存 器 可 被 映 射 到 器 件 数 种 资 源 中 的 一 种 资 源 : CLB 寄 存 器 作 为 SRL 的 CLB LUTRAM ILOGI OLOGIC DSP 和 Block RAM( 如 果 寄 存 器 接 近 于 算 法 或 存 储 器 功 能 ) 并 非 所 有 的 时 序 逻 辑 都 能 灵 活 映 射 到 以 上 的 任 何 资 源 中 但 是, 特 定 时 序 逻 辑 有 时 可 以 映 射 到 一 种 以 上 的 资 源 在 这 种 情 况 下, 您 可 以 选 用 实 现 特 定 时 序 逻 辑 的 最 快 资 源 由 LUT 驱 动 的 CLB 寄 存 器 的 建 立 时 间 很 短, 因 此 这 部 分 路 径 延 迟 通 常 可 以 忽 略 不 计 对 于 位 于 ILOGIC 中 的 寄 存 器 也 是 如 此 当 数 据 通 过 绕 开 LUT 的 X 输 入 进 入 CLB 时, 建 立 时 间 会 稍 微 延 长 如 果 数 据 通 过 FMUX 或 进 位 逻 辑, 建 立 时 间 会 突 然 增 加 至 几 百 皮 秒 对 于 映 射 到 OLOGIC 或 Send Feedback 264

265 第 5 章 : 实 现 LUTRAM 的 寄 存 器 来 说 也 如 此 在 此 类 情 况 下, 建 立 时 间 会 成 为 关 键 路 径 的 重 要 影 响 因 素 表 5-7 : 位 于 不 同 资 源 内 的 寄 存 器 的 相 对 建 立 时 间 FF 位 置 ILOGIC 速 度 更 快 LUT 驱 动 的 CLB FF X 输 入 驱 动 的 CLB FF LUTRAM ( 用 作 SRL) MUXFX 或 进 位 逻 辑 驱 动 的 CLB FF OLOGIC 速 度 较 慢 CLB 寄 存 器 的 时 钟 到 输 出 延 迟 通 常 极 短, 对 赛 灵 思 7 系 列 速 度 等 级 最 高 的 FPGA 器 件 而 言, 通 常 为 250 皮 秒 左 右 CLB 寄 存 器 有 两 个 BEL 位 置 较 低 的 Q 位 置 要 比 MUX 位 置 ( 需 在 输 出 前 经 过 多 路 复 用 器 ) 稍 微 快 一 点 图 5-25 : 较 低 的 寄 存 器 具 有 更 低 的 延 迟 对 于 映 射 到 LUTRAM( 用 作 SRL) 的 寄 存 器, 其 时 钟 到 输 出 延 迟 明 显 较 低, 处 于 纳 秒 级 如 果 SRL 输 出 驱 动 关 键 路 径, 则 有 必 要 把 最 后 的 寄 存 器 级 从 LUTRAM 移 到 其 CLB 对 寄 存 器, 以 减 少 时 钟 到 输 出 的 延 迟 Send Feedback 265

266 第 5 章 : 实 现 ILOGIC 和 OLOGIC 的 时 钟 到 输 出 延 迟 要 比 CLB 寄 存 器 时 钟 到 输 出 的 延 迟 低 表 5-8 : 不 同 资 源 中 的 时 钟 到 输 出 延 FF 位 置 Q BEL 中 的 CLB FF 速 度 更 快 MUX BEL 中 的 CLB FF ILOGIC OLOGIC LUTRAM ( 用 作 SRL) DSP BRAM 速 度 较 慢 存 储 器 赛 灵 思 器 件 中 的 存 储 器 可 用 Block RAM 或 分 布 式 RAM 来 实 现 这 两 种 RAM 都 能 通 过 综 合 来 调 用 ; 通 过 IP Catalog 生 成 ; 或 者 也 都 能 被 例 化 为 UNISIM 使 用 这 两 种 存 储 器 任 一 种 均 可 实 现 大 部 分 单 端 口 与 双 端 口 RAM 与 ROM 功 能 功 能 要 求 通 常 决 定 所 需 的 RAM 类 型 例 如, 异 步 读 取 路 径 需 要 分 布 式 RAM 有 时 需 求 会 从 一 种 类 型 转 向 另 一 种 容 量 很 大 的 RAM 通 常 需 要 多 个 Block RAM 窄 数 据 宽 度 一 般 在 分 布 式 RAM 中 更 高 效 不 论 选 择 哪 一 种, 您 都 必 须 了 解 每 种 类 型 的 设 计 影 响 Block RAM Block RAM 是 用 于 RAM ROM 和 FIFO 的 专 用 硬 件 资 源 将 它 们 划 分 为 跨 越 器 件 高 度 的 列, 各 个 列 在 CLB 之 间 的 分 布 相 当 均 匀 由 于 Block RAM 是 专 用 模 块, 因 此 其 更 加 适 合 高 容 量 此 外, 与 相 同 容 量 的 分 布 式 RAM 相 比, Block RAM 的 功 耗 更 低 但 是, 进 出 Block RAM 列 的 延 迟 通 常 比 较 高 图 5-26 : 进 出 Block RAM 的 布 线 示 例 给 出 了 两 种 布 线 路 径 Send Feedback 266

267 第 5 章 : 实 现 图 5-26 : 实 例 : 进 出 Block RAM 的 布 线 Block RAM 的 读 取 访 问 相 对 较 慢 : 时 钟 到 输 出 延 迟 大 约 为 1.5 至 2ns ; 还 有 ps 的 获 取 到 CLB 逻 辑 布 线 延 迟 Block RAM 具 有 一 个 可 选 的 数 据 输 出 寄 存 器, 可 将 时 钟 到 输 出 延 迟 减 少 一 半 以 上 建 立 保 持 时 间 也 会 严 重 影 响 高 速 路 径 二 者 范 围 均 在 500 至 700ps 之 间, 当 使 用 READ_FIRST 模 式 时, 两 者 均 可 减 少 一 半 以 上 提 示 : 当 手 动 放 置 Block RAM 时, 应 使 各 列 中 的 RAM 共 享 相 同 的 地 址 线 各 列 均 可 访 问 快 速 专 用 的 地 址 线 布 线, 以 便 级 联 Block RAM, 从 而 创 建 更 大 容 量 的 RAM 分 布 式 RAM 分 布 式 RAM 可 用 CLB 逻 辑 (LUTRAM 寄 存 器 LUT MUX) 来 实 现 由 于 分 布 式 RM 是 用 CLB 逻 辑 来 实 现 的, 因 此 更 适 合 较 小 的 容 量 与 Block RAM 相 比, 更 大 尺 寸 的 分 布 式 RAM 会 消 耗 更 多 CLB 资 源 和 功 耗 但 是, 较 小 的 尺 寸 可 实 现 非 常 好 的 性 能, 因 为 进 出 RAM 的 布 线 延 迟 会 减 少 很 多 Send Feedback 267

268 第 5 章 : 实 现 LUTRAM 是 用 于 分 布 式 RAM 存 储 的 LUT LUTRAM 具 有 与 Block RAM 类 似 的 建 立 时 间, 但 保 持 时 间 大 约 是 后 者 的 一 半 读 取 访 问 时 间 也 大 约 是 后 者 的 一 半 ; 但 退 出 CLB 需 要 更 多 延 迟, 布 线 的 额 定 时 间 为 ps, 而 对 于 需 要 经 过 多 路 复 用 逻 辑 的 传 播 来 说 则 可 能 上 升 到 纳 秒 级 图 5-27 : 分 布 式 RAM 的 读 取 延 迟 给 出 了 从 分 布 式 RAM 读 取 的 典 型 最 快 路 径 图 5-27 : 分 布 式 RAM 的 读 取 延 迟 Send Feedback 268

269 第 5 章 : 实 现 Block RAM 与 分 布 式 RAM 之 间 的 对 比 下 面 的 实 例 主 要 介 绍 Block RAM 与 分 布 式 RAM 之 间 的 区 别 采 具 有 Virtex -7-2 速 度 等 级 IP 默 认 设 置 的 IP Catalog 来 创 建 两 种 不 同 尺 寸 的 单 端 口 RAM 布 线 后 的 结 果 反 映 的 是 理 想 条 件 实 际 性 能 可 能 因 包 含 设 计 的 周 围 逻 辑 变 化 而 有 所 不 同 表 5-9 :8kx32 RAM 的 实 现 对 比 Block RAM 最 大 频 率 500MHz 以 上 250 MHz 面 积 8 RAMB36 18 CLB 2043 CLB 功 耗 370 mw 440 mw 分 布 式 RAM 结 果 表 明 对 于 这 种 高 度 和 宽 度 来 说,Block RAM 实 现 方 案 的 总 体 效 果 更 好 分 布 式 RAM 关 键 路 径 如 图 5-28 : 贯 穿 分 布 式 RAM 的 关 键 路 径 所 示 注 意 : 增 加 流 水 线 级 数 以 平 衡 整 个 解 码 逻 辑 的 延 迟, 这 样 可 增 大 最 大 频 率, 但 最 大 频 率 会 受 限 于 通 过 RAM 单 元 的 延 迟 图 5-28 : 贯 穿 分 布 式 RAM 的 关 键 路 径 表 5-10 :128x4 RAM 的 实 现 对 比 Block RAM 分 布 式 RAM 最 大 频 率 约 400 MHz 500 MHz 以 上 面 积 1 RAMB18, 3 个 Slice 4 个 Slice 功 耗 260 mw 260 mw 对 于 这 种 相 对 较 小 的 尺 寸 来 说, 采 用 分 布 式 RAM 实 现 方 案 具 有 快 速 简 捷 以 及 占 用 更 少 布 线 资 源 的 优 势 DSP48E1 模 块 在 大 多 数 情 况 下, 综 合 与 IP Catalog 可 决 定 算 法 功 能 的 最 佳 实 现 大 部 分 高 级 功 能 ( 尤 其 那 些 依 Send Feedback 269

270 第 5 章 : 实 现 靠 宽 位 高 速 乘 法 的 功 能 ) 最 适 合 在 DSP48E1 模 块 中 实 现, 该 模 块 具 有 用 来 分 担 CLB 任 务 的 专 用 硬 件 乘 法 器 和 ALU DSP48E1 不 仅 内 部 高 度 优 化, 而 且 在 沿 布 置 该 模 块 的 DSP 列 的 位 置 有 专 用 的 高 速 布 线 这 使 得 多 个 DSP48E1 能 够 实 现 更 宽 的 乘 法 器 和 级 联 电 路, 例 如 流 水 线 FIR 滤 波 器, 而 且 运 行 频 率 均 在 500MHz 以 上 CLB 进 位 逻 辑 通 常 更 适 合 于 特 定 电 路, 例 如 通 过 常 数 乘 法 器 和 小 宽 度 乘 法 器 来 实 现 的 乘 法 运 算 当 特 定 类 型 的 资 源 被 过 度 使 用 或 高 度 使 用 时, 功 能 可 从 一 种 类 型 转 移 到 另 一 种 当 DSP 模 块 的 运 行 不 足 时, 可 从 基 于 DSP48E1 的 功 能 转 为 CLB 逻 辑 同 样 地, 当 CLB 被 过 度 使 用 时, 众 多 基 于 CLB 逻 辑 的 功 能 也 可 被 转 移 到 DSP48E1 后 者 对 解 决 拥 塞 区 域 有 帮 助 DSP48E1 不 仅 可 实 现 乘 法 器 和 乘 法 累 加 功 能, 还 可 实 现 加 减 器 计 数 器 乃 至 宽 泛 的 并 行 逻 辑 门 DSP48E1 模 块 以 流 水 线 方 式 实 现, 包 含 输 入 和 输 出 寄 存 器 以 及 位 于 乘 法 器 和 ALU 之 间 的 中 间 寄 存 器, 如 图 5-29 所 示 图 5-29 :DSP48 中 可 用 的 流 水 线 寄 存 器 必 须 使 用 所 有 寄 存 器 级 数, 以 实 现 对 应 于 三 个 周 期 延 迟 的 最 高 性 能 下 面 的 实 例 说 明 了 所 用 的 寄 存 器 级 数 如 何 影 响 时 序 使 用 中 档 的 -2 速 度 等 级, 在 Virtex-7 器 件 上 实 现 具 有 32 位 输 出 的 16x16 有 符 号 乘 法 器 表 5-11 :DSP48 寄 存 器 对 最 大 频 率 (FMax) 的 影 响 时 延 AREG/BREG MREG PREG 设 置 路 径 时 钟 到 输 出 路 径 最 大 频 率 (FMax) 0 无 无 无 不 可 用 不 可 用 250 MHz 1 无 无 有 2.65 设 置 + 400ps 布 线 350 ps clk->out ps 布 线 300 MHz Send Feedback 270

271 第 5 章 : 实 现 表 5-11 :DSP48 寄 存 器 对 最 大 频 率 (FMax) 的 影 响 时 延 AREG/BREG MREG PREG 设 置 路 径 时 钟 到 输 出 路 径 最 大 频 率 (FMax) 2 有 无 有 260 设 置 + 760ps 布 线 3 有 有 有 260 设 置 ps 布 线 有 一 些 出 入 DSP 模 块 的 布 线 延 迟 350 ps clk->out ps 布 线 350 ps clk->out ps 布 线 360 MHz 500 MHz 以 上 使 用 一 个 寄 存 器 数 (PREG 级 ) 时, 到 达 寄 存 器 输 入 的 延 迟 比 较 大 ( 超 过 3ns), 包 括 从 CLB 逻 辑 到 DSP 列 的 布 线 使 用 两 个 寄 存 器 级 数 ( 输 入 寄 存 器 和 输 出 寄 存 器 ) 时, 最 大 频 率 受 限 于 内 部 的 寄 存 器 到 寄 存 器 路 径 使 用 三 个 寄 存 器 级 数 时,DSP 模 块 内 部 运 行 频 率 在 500MHz 以 上 如 果 连 接 DSP 模 块 的 逻 辑 位 置 摆 放 较 好, 包 含 系 统 可 达 到 500MHz 的 最 大 频 率 如 果 必 须 将 三 级 乘 法 器 移 至 CLB 逻 辑, 那 么 等 效 实 现 方 案 可 达 到 大 约 440 MHz, 并 需 要 约 143 个 Slice, 包 括 用 来 累 加 部 分 乘 积 的 15 个 进 位 链 进 位 链 的 高 度 为 有 5 至 6 个 Slice, 而 且 必 须 放 在 垂 直 相 邻 的 CLB 中 布 局 器 必 须 能 够 将 这 些 高 的 宏 指 令 整 合 到 已 有 的 CLB 逻 辑 中 参 见 图 5-30 : 在 CLB 中 实 现 的 DSP48 图 5-30 : 在 CLB 中 实 现 的 DSP48 Send Feedback 271

272 第 5 章 : 实 现 需 要 一 个 附 加 的 流 水 线 级 数 来 实 现 相 似 性 能 控 制 信 号 与 控 制 集 复 位 或 时 钟 使 能 等 控 制 信 号 一 直 没 有 得 到 足 够 的 重 视 很 多 设 计 人 员 在 进 行 HDL 编 码 时 都 以 if reset 说 明 作 为 开 始, 并 没 有 考 虑 是 否 需 要 复 位 所 有 寄 存 器 都 支 持 复 位 和 时 钟 使 能, 因 此 其 使 用 会 严 重 影 响 最 终 实 现 方 案 的 性 能 利 用 率 和 功 耗 以 下 各 节 定 义 了 控 制 信 号 与 控 制 集 控 制 信 号 表 5-12 : 控 制 信 号 是 控 制 信 号 的 一 个 实 例 表 5-12 : 控 制 信 号 时 钟 使 能 复 位 时 钟 与 门 电 路 ( 用 于 锁 存 器 ) 注 : 位 于 CLB 资 源 上 的 库 原 语 控 制 信 号 时 钟 使 能 写 使 能 门 使 能 ( 用 于 锁 存 器 ) 逻 辑 0 复 位 ( 同 步 ) 清 除 ( 异 步 ) 逻 辑 1 置 位 ( 同 步 ) 预 设 ( 异 步 ) 控 制 集 控 制 集 是 指 时 序 单 元 使 用 的 一 组 时 钟 使 能 和 置 位 / 复 位 信 号 这 包 括 缺 少 使 能 和 设 置 / 复 位 的 情 况 例 如, 由 相 同 时 钟 计 时 的 两 个 单 元, 如 果 只 有 一 个 单 元 有 复 位 信 号, 或 者 只 有 一 个 单 元 有 时 钟 使 能 信 号, 那 么 这 两 个 单 元 实 际 上 具 有 不 同 的 控 制 集 控 制 集 的 数 量 将 影 响 可 以 放 入 Slice 中 的 寄 存 器 数 量, 因 为 所 有 八 个 寄 存 器 共 享 相 同 的 时 钟 复 位 和 时 钟 使 能 信 号 这 意 味 着 如 果 有 两 个 寄 存 器 具 有 不 同 的 时 钟 复 位 或 使 能 信 号 ( 包 括 不 含 某 一 时 钟 ), 那 么 它 们 将 无 法 共 享 相 同 的 Slice 这 对 使 用 和 布 局 都 会 造 成 负 面 影 响 进 而 也 会 给 性 能 和 功 耗 带 来 不 利 影 响 在 赛 灵 思 7 系 列 FPGA Slice 中 ( 每 个 Slice 八 个 寄 存 器, 是 CLB 的 一 半 ), 所 有 寄 存 器 共 享 相 同 控 制 信 号, 因 此 共 享 相 同 的 控 制 集 如 果 控 制 集 中 的 寄 存 器 数 量 无 法 被 八 整 除, 有 些 寄 存 器 就 必 须 不 用 对 于 具 有 若 干 很 低 扇 出 控 制 信 号 ( 例 如 到 单 个 寄 存 器 的 时 钟 使 能 信 号 ) 的 设 计 来 说 需 要 考 虑 这 个 问 题 对 于 具 有 很 多 控 制 集 的 设 计 而 言, 因 为 寄 存 器 必 须 处 于 不 可 用 状 态, 因 此 寄 存 器 的 利 用 率 通 常 比 较 低 Send Feedback 272

273 第 5 章 : 实 现 如 需 了 解 有 关 控 制 信 号 的 更 多 信 息, 敬 请 参 阅 : 第 108 页 控 制 信 号 与 控 制 集 提 示 : 如 果 您 遇 到 利 用 率 或 拥 塞 等 问 题, 可 以 使 用 report_control_sets Tcl 命 令 查 看 您 的 设 计 中 是 否 在 过 多 控 制 信 号 如 果 控 制 集 的 数 量 过 多, 可 使 用 以 下 方 法 减 少 控 制 集 的 数 量, 尤 其 着 重 于 一 些 具 有 较 低 扇 出 的 控 制 集 避 免 使 用 那 些 同 时 具 有 异 步 和 同 步 复 位 的 时 序 元 件 避 免 对 非 恒 定 值 进 行 异 步 分 配 这 会 导 致 一 些 问 题 : 形 成 规 模 更 大 的 电 路, 两 个 寄 存 器, 一 个 锁 存 器 和 一 个 LUT 每 个 序 列 都 有 不 同 控 制 集, 最 少 占 用 3 个 CLB 产 生 若 干 个 异 步 时 序 路 径 结 果, 如 果 不 进 行 正 确 分 析, 可 能 会 导 致 更 多 影 响 整 体 设 计 稳 定 性 的 时 序 威 胁 在 可 能 的 情 况 下, 使 用 高 电 平 有 效 控 制 信 号 避 免 异 步 置 位 / 复 位 每 个 异 步 复 位 控 制 信 号 都 不 可 被 移 动 到 数 据 路 径 与 使 用 同 步 复 位 或 置 位 的 情 况 不 同, 无 法 通 过 将 异 步 复 位 或 置 位 分 解 到 数 据 路 径 逻 辑 这 种 方 式 来 缓 和 控 制 集 的 增 加 这 能 够 为 包 装 和 布 局 带 来 更 大 的 灵 活 性 只 在 需 要 时 使 用 置 位 / 复 位 : 数 据 路 径 包 含 很 多 可 自 动 清 理 未 初 始 化 值 的 寄 存 器 必 须 复 位 到 已 知 数 值 的 I/O 状 态 机 和 关 键 控 制 信 号 的 寄 存 器 应 使 用 置 位 和 复 位 对 于 同 步 复 位 信 号, 要 针 对 信 号 的 有 效 和 无 效 评 估 时 序 通 常, 同 步 信 号 的 预 测 性 更 强, 除 非 是 绝 对 需 要 异 步 复 位 的 情 况, 否 则 建 议 使 用 同 步 信 号 时 钟 使 能 有 时 实 际 是 冗 余 逻 辑, 无 法 通 过 综 合 或 逻 辑 优 化 来 减 少 这 些 冗 余 逻 辑 使 用 具 有 扇 出 控 制 的 警 告 进 行 综 合 以 及 逻 辑 和 物 理 优 化 低 扇 出 限 值 会 引 入 太 多 不 必 要 的 控 制 集 Send Feedback 273

274 第 5 章 : 实 现 分 析 常 见 设 计 瓶 颈 下 面 几 章 节 讨 论 一 些 更 常 遇 到 的 设 计 挑 战 : 识 别 设 计 中 最 长 的 逻 辑 延 迟 路 径 识 别 高 扇 出 网 络 驱 动 器 确 定 保 持 修 复 是 否 会 对 设 计 生 负 面 影 响 识 别 设 计 中 最 长 的 逻 辑 延 迟 路 径 时 序 路 径 对 应 于 设 计 中 的 逻 辑 路 径 其 延 迟 是 单 元 延 迟 和 网 络 延 迟 的 累 加 Vivado 综 合 与 实 现 工 具 均 基 于 时 序, 并 在 整 个 编 译 流 程 中 对 您 设 计 中 的 最 差 违 规 路 径 进 行 优 化 如 果 路 径 的 累 加 单 元 延 迟 等 于 或 大 于 时 序 要 求 ( 例 如, 路 径 的 时 钟 周 期 ), 那 么 设 计 在 实 现 后 将 不 可 能 满 足 时 序 要 求 分 析 逻 辑 延 迟 比 简 单 统 计 逻 辑 级 数 更 好, 因 为 这 样 能 够 在 估 计 或 布 线 网 络 延 迟 成 为 问 题 之 前 显 示 哪 些 是 最 差 路 径 分 析 得 出 的 结 果 是 布 局 布 线 之 前 最 差 时 序 路 径 的 列 表, 而 且 没 有 网 络 延 迟 识 别 具 有 最 差 时 序 的 路 径, 而 不 是 计 算 逻 辑 级 数, 这 一 点 非 常 重 要 例 如, 未 寄 存 的 Block RAM 有 非 常 大 的 时 钟 到 输 出 延 迟, 同 时 一 系 列 进 位 链 可 能 具 有 多 个 逻 辑 级 数 层, 每 级 都 有 小 延 迟 您 必 须 在 实 现 之 前 仔 细 分 析 这 些 路 径 这 些 长 延 迟 路 径 有 以 下 三 种 典 型 类 别 : 没 有 使 用 内 嵌 的 输 出 寄 存 器 的 Block RAM 并 非 用 流 水 线 实 现 的 DSP48 长 逻 辑 路 径 识 别 这 些 长 路 径 最 有 效 的 方 法 就 是 运 行 综 合 后 时 序 报 告, 并 将 布 线 估 计 设 为 none 要 达 到 此 目 的, 可 以 在 Vivado IDE Timing Report 对 话 框 的 Timer Settings 选 项 卡 中 将 互 联 模 型 (Interconnect model) 变 为 none, 或 者 使 用 Tcl 控 制 台 或 Shell 中 的 如 下 Tcl 命 令 : set_delay_model -interconnect none 检 查 时 序 结 果 以 识 别 伪 路 径 如 果 路 径 在 没 有 任 何 布 线 延 迟 的 情 况 下 未 能 满 足 时 序 要 求, 那 么 这 些 路 径 在 实 际 布 线 时 将 不 可 能 满 足 时 序 要 求 必 须 立 即 对 这 些 路 径 进 行 处 理 一 般 来 说, 这 些 路 径 必 须 得 在 RTL 中 进 行 修 复, 但 违 规 问 题 也 可 能 是 由 缺 少 综 合 属 性 或 不 正 确 的 时 序 约 束 而 引 起 的 现 变 更 后, 设 计 将 具 有 足 够 的 时 序 裕 量, 如 图 5-31 :0 个 互 联 的 时 序 报 告 所 示 Send Feedback 274

275 第 5 章 : 实 现 图 5-31 :0 个 互 联 的 时 序 报 告 识 别 高 扇 出 网 络 驱 动 器 高 扇 出 网 络 往 往 会 导 致 实 现 问 题 随 着 每 个 FPGA 系 列 的 芯 片 尺 寸 的 增 大, 扇 出 问 题 也 随 之 增 加 在 具 有 数 千 个 端 点 的 网 络 上 通 常 很 难 满 足 时 序 要 求, 尤 其 当 路 径 上 有 附 加 逻 辑, 或 者 由 非 时 序 单 元 驱 动 ( 例 如 LUT 或 分 布 式 RAM) 时 更 是 如 此 设 计 人 员 大 部 分 时 间 都 在 解 决 RTL 和 综 合 中 高 扇 出 网 络 问 题, 但 如 果 不 这 样,phys_opt_design 也 有 助 于 减 轻 由 扇 出 引 起 的 时 序 问 题 查 看 综 合 后 以 及 执 行 phys_opt_design 后 ( 物 理 优 化 后 ) 的 高 扇 出 信 号 列 表, 这 一 点 非 常 重 要 用 来 识 别 这 些 网 络 的 命 令 为 report_high_fanout_nets 报 告 生 成 后, 就 可 以 查 看 通 过 高 扇 出 网 络 的 时 序 以 及 相 应 的 原 理 图 此 报 告 不 列 出 作 为 高 扇 出 驱 动 器 的 时 钟 如 果 BUFG 位 于 Driver Type 列 中, 那 么 该 BUFG 是 驱 动 逻 辑 而 非 时 钟 引 脚 ### Report the the high fanout net report_high_fanout_nets -load_types -max_nets 100 ### Report timing through specific high fanout net report_timing -through [get_nets I_GLOBAL_RST_N_i] -name high_fanout_1 下 面 给 出 了 一 个 设 计 实 例, 其 中 phys_opt_design 能 够 减 少 扇 出 : 布 局 后 检 查 点 :report_high_fanout_nets 提 示 : 配 合 report_high_fanout_nets 命 令 使 用 -timing 和 -load_types 选 项 也 能 显 示 高 扇 出 网 络 的 延 迟 和 各 类 负 载 Send Feedback 275

276 第 5 章 : 实 现 该 网 络 的 无 理 优 化 后 时 序 报 告 为 : 图 5-32 : 时 序 报 告 实 例 该 独 特 网 络 上 的 扇 出 从 2945 减 少 到 464, 但 更 重 要 的 是, 时 序 从 -433ps 的 负 时 序 裕 量 变 为 741ps 的 正 时 序 裕 量 扇 出 优 化 将 该 特 定 路 径 上 的 时 序 改 进 1ns 以 上 每 个 网 络 的 FLAT_PIN_COUNT 属 性 表 明 整 个 设 计 层 中 连 接 该 网 络 的 单 元 的 数 量 使 用 get_property 命 令 提 取 FLAT_PIN_COUNT 属 性 : get_property FLAT_PIN_COUNT [get_nets my_hfn] 提 示 : 通 过 使 用 Tcl 脚 本, 您 可 以 方 便 地 报 告 在 任 何 特 定 高 扇 出 网 络 中 传 播 的 所 有 路 径 的 最 差 时 序 裕 量 确 认 保 持 修 复 是 否 会 对 设 计 产 生 负 面 影 响 Vivado 设 计 套 件 布 线 器 使 修 复 保 持 的 优 先 级 高 于 建 立 这 是 因 为 如 果 您 的 建 立 中 出 现 少 量 失 误, 则 设 计 将 可 能 无 法 正 常 运 行 总 是 有 降 低 时 钟 频 率 的 选 项 如 果 存 在 保 持 违 规, 设 计 很 有 可 能 无 法 工 作 在 大 多 数 情 况 下, 布 线 器 可 在 不 影 响 建 立 的 情 况 下 满 足 保 持 时 序 在 有 些 情 况 下 ( 大 部 分 是 由 于 设 计 或 约 束 错 误 造 成 ), 建 立 时 间 会 受 到 严 重 影 响 不 正 确 的 保 持 检 查 通 常 是 由 不 正 确 的 set_ multicycle_path 约 束 而 引 起, 即 约 束 中 未 指 定 hold 在 其 它 情 况 下, 较 大 保 持 时 间 由 过 度 的 时 钟 Skew 造 成 的 这 种 情 况 下, 赛 灵 思 建 议 您 检 查 特 定 电 路 的 时 钟 架 构 如 需 了 解 更 多 相 关 信 息, 敬 请 参 阅 : 第 250 页 的 确 定 时 序 违 规 根 源 如 您 的 设 计 满 足 布 局 后 的 建 立 时 序, 但 未 满 足 布 线 后 的 建 立, 可 能 会 出 现 这 种 问 题 Send Feedback 276

277 第 5 章 : 实 现 提 示 : 分 析 布 局 后 的 估 计 保 持 时 序, 并 识 别 任 何 不 寻 常 的 严 重 保 持 违 规 ( 超 过 500ps) 如 果 您 怀 疑 保 持 修 复 正 在 影 响 时 序 收 敛, 那 么 您 可 以 使 用 下 面 的 任 何 一 种 方 法 来 确 定 是 否 如 此 : 方 法 1 : 不 进 行 保 持 修 复 的 布 线 方 法 2 : 在 最 差 故 障 设 置 路 径 上 运 行 report_timing -min 方 法 1 : 不 进 行 保 持 修 复 的 布 线 1. 将 布 局 后 的 检 查 点 读 入 Vivado 设 计 套 件 2. 添 加 一 个 约 束 禁 用 所 有 保 持 检 查 : set_false_path -hold -to [all_clocks] 注 意! 该 约 束 只 能 用 于 测 试 目 的 对 将 进 入 生 产 阶 段 或 交 付 给 另 一 个 设 计 师 的 设 计, 不 要 使 用 该 方 法 在 进 行 生 产 设 计 之 前 一 定 要 删 除 这 个 约 束 3. 运 行 route_design 和 report_timing_summary 如 果 有 保 持 检 查 的 WNS 与 没 有 保 持 检 查 的 WNS 之 间 存 在 巨 大 差 异, 那 么 有 可 能 就 是 保 持 违 规 过 大, 并 且 给 建 立 路 径 造 成 了 影 响 方 法 2 : 在 最 差 故 障 建 立 路 径 上 运 行 report_timing -min 为 确 定 最 差 故 障 建 立 路 径 是 否 是 由 保 持 修 复 成, 应 检 查 该 路 径 的 保 持 时 序 在 Vivado IDE 中, 右 键 点 击, 查 看 信 号 源 到 信 号 终 点 上 的 时 序 报 告 这 里 不 是 进 行 建 立 时 序 分 析, 而 是 要 查 看 保 持 时 序 在 您 生 成 保 持 报 告 后, 对 要 求 进 行 确 认, 并 确 保 不 需 要 为 满 足 保 持 要 求 在 路 径 上 额 外 加 入 延 迟 Send Feedback 277

278 第 5 章 : 实 现 图 5-33 : 在 特 定 路 径 上 运 行 时 序 报 告 快 速 分 析 所 有 时 序 违 规 路 径 report_timing_summary 命 令 是 确 定 设 计 方 案 所 有 时 序 信 息 的 强 大 工 具 有 时, 在 单 个 报 告 中 方 便 地 查 看 所 有 伪 路 径 很 有 益 处 下 面 的 命 令 可 在 命 令 行 或 IDE 中 使 用 report_timing -max_paths 100 -slack_less_than 0 -name worse_100_setup 提 示 : 当 使 用 IDE 时, 可 将 时 序 结 果 导 到 电 子 数 据 表 中, 以 对 伪 路 径 进 行 更 全 面 的 分 析 上 面 的 命 令 报 告 前 100 个 违 规 路 径 如 果 违 规 路 径 不 足 100 个, 只 报 告 因 -slack_less_than 0 选 项 而 引 起 的 违 规 路 径 在 单 个 列 表 中 查 看 违 规 路 径 有 助 于 快 速 鉴 别 违 规 路 径 之 间 的 巨 大 差 异 例 如,WNS 可 以 是 -3ns, 这 会 影 响 一 些 路 径, 不 过 列 表 中 的 下 个 WNS 可 以 是 -300ps 或 更 好 默 认 情 况 下, 当 您 分 析 时 序 故 障 时, 您 只 能 看 到 每 个 端 点 上 的 单 个 最 差 时 序 路 径 通 用 故 障 节 点 上 通 常 有 很 多 相 似 的 路 径 Send Feedback 278

279 第 5 章 : 实 现 为 查 看 单 个 端 点 的 所 有 最 差 路 径, 可 使 用 -nworst 选 项 和 report_timing 命 令 例 如, 运 行 下 面 的 命 令 来 查 看 通 向 最 差 故 障 端 点 的 所 有 路 径 ( 假 设 少 于 100 个 ): report_timing -max_paths 100 -nworst 100 查 看 所 有 最 差 路 径 可 能 产 生 大 量 数 据 为 了 将 需 要 分 析 的 数 据 量 降 到 最 少, 您 可 以 使 用 -unique_ pins 选 项 和 report_timing 命 令, 只 查 看 路 径 中 的 独 特 部 分 这 样 可 为 通 过 时 序 路 径 的 每 个 独 特 引 脚 组 合 提 供 单 个 路 径 例 如 : report_timing -max_paths 100 -nworst 100 -unique_pins 调 节 编 译 流 程 可 通 过 默 认 编 译 流 程 快 速 获 得 设 计 基 准 (baseline), 并 在 未 满 足 时 序 要 求 的 情 况 下 分 析 设 计 在 完 成 初 步 实 现 之 后, 可 能 需 要 调 节 编 译 流 程 以 实 现 时 序 收 敛 策 略 与 指 令 优 化 反 复 循 环 增 量 编 译 设 计 过 约 束 策 略 与 指 令 策 略 与 指 令 可 用 于 增 大 实 现 解 决 方 案 空 间, 从 而 为 您 的 设 计 找 到 最 佳 解 决 方 案 策 略 被 全 局 地 应 用 于 工 程 实 现 过 程, 而 指 令 则 被 分 别 设 置 到 工 程 模 式 和 非 工 程 模 式 下 实 现 流 程 的 每 个 步 骤 在 用 指 令 对 流 程 实 现 定 制 化 之 前, 应 该 首 先 执 行 预 定 义 的 策 略 赛 灵 思 不 建 议 针 对 非 SSI 器 件 采 用 SSI 策 略 如 果 用 默 认 策 略 无 法 满 足 时 序 要 求, 需 要 手 动 设 定 自 定 义 的 指 令 组 合 由 于 布 局 通 常 对 总 体 设 计 性 能 有 很 大 影 响, 最 好 仅 利 用 I/O 位 置 约 束 ( 无 其 它 布 局 约 束 ) 来 尝 试 各 种 布 局 器 指 令 通 过 检 查 每 种 布 局 器 运 行 后 的 WNS 和 TNS( 可 在 布 局 器 日 志 中 找 到 这 些 数 值 ), 您 可 以 选 择 出 提 供 最 佳 时 序 结 果 的 两 个 或 三 个 指 令 作 为 后 续 实 现 流 程 的 基 础 针 对 每 个 检 查 点 尝 试 运 行 多 个 针 对 phys_opt_design 和 route_design 的 指 令, 同 样 只 保 留 具 有 最 佳 估 计 WNS/TNS 或 最 终 WNS/TNS 的 运 行 方 案 在 非 工 程 模 式 下, 您 必 须 用 Tcl 脚 本 显 示 描 述 流 程, 并 保 存 最 佳 检 查 点 在 工 程 模 式 下, 可 以 为 每 个 布 局 器 指 令 创 建 单 独 的 实 现 运 行 方 案, 并 将 Send Feedback 279

280 第 5 章 : 实 现 运 行 方 案 应 用 于 布 局 步 骤 在 布 局 步 骤 之 后, 您 可 以 继 续 对 具 有 最 佳 结 果 的 运 行 方 案 执 行 实 现 操 作 ( 依 照 Tcl-post 脚 本 确 定 ) 物 理 约 束 (Pblock 和 DSP 和 RAM 宏 约 束 ) 会 妨 碍 布 局 器 找 出 最 佳 解 决 方 案 因 此, 赛 灵 思 建 议 您 可 在 没 有 任 何 Pblock 约 束 的 情 况 下 运 行 布 局 器 指 令 在 采 用 指 令 开 端 进 行 布 局 之 前, 可 使 用 下 面 的 Tcl 命 令 删 除 Pblock : delete_pblock [get_pblocks *] 运 行 place_design -directive <directive> 并 分 析 最 佳 结 果 的 布 局, 这 样 也 可 提 供 一 个 布 局 规 划 设 计 模 板, 用 以 稳 定 运 行 到 运 行 之 间 的 流 程 优 化 反 复 循 环 有 时 将 一 个 命 令 反 复 循 环 多 次 有 利 于 获 得 最 佳 结 果 例 如, 首 先 用 force_replication_on_nets 选 项 运 行 phys_opt_design, 以 优 化 那 些 可 能 在 布 线 过 程 中 会 对 TNS 产 生 影 响 的 关 键 网 络 phys_opt_design -force_replication_on_nets 接 下 来 可 用 任 何 指 令 运 行 phys_opt_design, 以 改 善 设 计 的 整 体 WNS 在 非 工 程 模 式 下, 使 用 下 面 的 命 令 : phys_opt_design -force_replication_on_nets [get_nets -hier *phy_reset*] phys_opt_design -directive <directive name> 在 工 程 模 式 下, 可 通 过 运 行 phys_opt_design 运 行 步 骤 ( 采 用 -directive 选 项 运 行 ) 下 Tcl-pre 脚 本 中 的 第 一 个 phys_opt_design 命 令 得 到 相 同 结 果 导 致 高 不 确 定 性 的 原 因 当 参 考 设 计 的 关 键 路 径 不 受 当 前 设 计 变 化 影 响 时, 增 量 编 译 可 在 为 设 计 保 留 QOR 时 产 生 最 佳 结 果 如 需 了 解 有 关 使 用 增 量 编 译 的 更 多 详 情, 敬 请 参 阅 : 第 218 页 增 量 式 流 程 设 计 过 约 束 如 果 布 线 后 设 计 未 满 足 时 序 要 求 但 相 差 不 大, 通 常 是 因 为 布 局 后 存 在 较 小 的 时 序 裕 量 可 在 布 局 Send Feedback 280

281 第 5 章 : 实 现 和 物 理 优 化 过 程 中 加 强 时 序 要 求, 以 增 大 布 线 器 的 时 序 预 算 实 现 这 一 点 的 建 议 方 法 是 针 对 如 下 原 因 采 用 set_clock_uncertainty 约 束 : 没 有 改 变 时 钟 关 系 ( 时 钟 波 形 保 持 不 变 ) 是 工 具 计 算 时 钟 不 确 定 性 的 附 加 ( 抖 动, 相 位 误 差 ) 专 门 针 对 由 -from 和 -to 选 项 规 定 的 时 钟 域 或 时 钟 交 叉 通 过 利 用 空 值 来 覆 盖 之 前 的 时 钟 不 确 定 性 约 束 这 种 方 法 可 以 轻 松 将 其 重 置 在 任 何 情 况 下, 赛 灵 思 都 建 议 您 : 只 对 无 法 满 足 建 立 时 序 的 时 钟 或 时 钟 交 叉 进 行 过 约 束 在 执 行 布 线 步 骤 之 前 重 置 额 外 的 不 确 定 性 参 见 下 面 的 实 例 : 设 计 在 布 线 前 后, 在 具 有 clk1 时 钟 域 的 路 径 上 时 序 相 差 -0.2ns, 在 ck2 到 clk3 的 路 径 上 时 序 相 差 -0.3ns 1. 加 载 网 表 设 计 并 应 用 标 准 约 束 2. 应 用 附 加 时 钟 不 确 定 性 以 对 特 定 时 钟 进 行 过 度 约 束 a. 数 值 应 至 少 是 违 规 总 量 b. 约 束 只 能 应 用 于 建 立 路 径 set_clock_uncertainty -from clk0 -to clk setup set_clock_uncertainty -from clk2 -to clk3 0.4 setup 3. 运 行 流 程 直 到 布 线 步 骤 最 好 能 够 满 足 预 布 线 时 序 4. 删 除 附 加 不 确 定 性 set_clock_uncertainty -from clk0 -to clk0 0 -setup set_clock_uncertainty -from clk2 -to clk3 0 setup 5. 运 行 布 线 器 在 布 线 完 成 后, 您 可 以 查 看 时 序 结 果 以 评 估 过 度 约 束 的 优 势 如 果 在 布 局 后 时 序 得 到 满 足, 但 在 布 线 后 仍 差 了 一 点 未 满 足, 您 可 以 增 加 不 确 定 性 的 量 再 试 一 次 建 议 : 过 度 约 束 不 要 超 过 0.5ns 布 局 规 划 考 虑 事 项 布 局 规 划 使 您 可 以 通 过 高 层 次 层 级 布 局 或 详 细 布 局 来 引 导 工 具, 以 提 供 更 佳 的 QOR 和 更 具 预 测 性 Send Feedback 281

282 第 5 章 : 实 现 的 结 果 通 过 修 复 最 严 重 的 问 题 或 最 常 见 的 问 题 来 实 现 最 大 改 善 例 如, 如 存 在 具 有 很 差 时 序 裕 量 或 高 层 次 逻 辑 的 孤 立 路 径, 应 利 用 Pblock 将 这 些 路 径 分 组 到 器 件 的 相 同 区 域 内, 以 便 修 复 这 些 路 径 只 对 设 计 中 额 外 需 要 人 工 布 局 的 部 分 进 行 布 局 规 划, 而 不 是 对 整 个 设 计 进 行 布 局 规 划 连 接 I/O 及 其 附 近 区 域 的 布 局 规 划 逻 辑 有 时 可 产 生 在 从 一 个 编 译 到 下 一 个 编 译 具 有 较 好 预 测 性 的 结 果 总 之, 最 好 保 持 Pblock 的 大 小 在 时 钟 域 内 这 样 可 为 布 局 器 提 供 最 大 的 灵 活 性 应 避 免 重 叠 Pblock, 因 为 共 享 区 域 有 可 能 变 得 更 加 拥 塞 应 最 大 程 度 减 少 穿 过 Pblock 的 网 络 的 数 量 应 特 别 考 虑 堆 叠 硅 片 互 联 (SSI) 器 件 SSI 器 件 由 多 个 超 级 逻 辑 域 (SLR) 和 一 个 中 介 层 制 成 中 介 层 连 接 被 称 为 超 长 线 路 (SLL) 当 从 一 个 SLR 经 过 另 一 SLR 时 会 有 一 些 延 迟 为 了 尽 量 降 低 SLL 延 迟 对 设 计 的 影 响, 应 对 设 计 进 行 布 局 规 划 以 使 SLR 交 叉 不 包 含 在 关 键 路 径 之 内 应 通 过 布 局 规 划 使 Pblock 仅 保 持 在 一 个 SLR 之 内, 以 便 最 大 程 度 减 少 SLR 交 错, 这 样 可 改 进 使 用 SSI 器 件 的 设 计 的 时 序 和 布 线 如 需 了 解 更 多 信 息, 敬 请 参 阅 : Vivado 设 计 套 件 用 户 指 南 : 设 计 分 析 和 收 敛 技 术 (UG906) 中 的 本 链 接 保 存 布 局 布 线 如 果 结 果 满 足 时 序 约 束, 您 可 能 希 望 锁 定 设 计 的 布 局 及 其 关 键 部 分 的 布 线 方 案 这 有 助 于 保 存 电 路 的 性 能, 为 后 续 工 作 提 供 更 具 预 测 性 的 结 果 很 容 易 重 用 以 下 内 容 的 布 局 方 案 : I/O 全 局 时 钟 资 源 Block RAM 宏 命 令 DSP 宏 令 重 用 布 局 有 助 于 减 少 网 表 修 订 版 本 与 另 一 个 版 本 之 间 的 结 果 变 化 这 些 原 语 通 常 具 有 固 定 的 名 称, 而 且 布 局 通 常 易 于 维 护 有 时 候 希 望 保 存 关 键 网 络 或 部 分 关 键 网 络 的 布 线 方 案, 以 确 保 不 同 运 行 具 有 相 同 时 序 可 将 网 络 的 is_route_fixed 属 性 设 为 1, 以 保 存 关 键 网 络 的 布 线 方 案 使 用 Vivado IDE 或 Tcl 命 令 设 置 该 属 性 要 在 Vivado 设 计 套 件 中 修 复 网 络 的 布 线, 应 在 器 件 视 图 中 选 择 该 网 络, 点 击 右 键 并 从 上 下 文 菜 单 中 选 择 Fix Routing Send Feedback 282

283 第 5 章 : 实 现 图 5-34 : 保 存 特 定 布 线 下 面 的 Tcl 命 令 实 例 修 复 $net 中 所 有 网 络 的 布 线 : set_property IS_ROUTE_FIXED 1 $net 这 样 可 将 布 线 标 记 为 修 复, 并 向 内 存 设 计 添 加 一 个 约 束 已 修 复 布 线 后 的 网 络 在 Device View 中 用 虚 线 显 示 功 耗 鉴 于 功 耗 的 重 要 性,Vivado 工 具 可 提 供 精 确 的 功 耗 估 计 方 法 以 及 一 些 功 耗 优 化 功 能 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 功 耗 分 析 和 优 化 (UG907)[ 参 考 资 料 18] 估 计 Vivado 设 计 套 件 流 程 中 各 阶 段 的 功 耗 随 着 设 计 流 程 进 入 综 合 与 实 现 阶 段, 必 须 定 期 监 控 和 检 查 功 耗 以 确 保 热 耗 散 保 持 在 预 算 范 围 内 Send Feedback 283

284 第 5 章 : 实 现 一 旦 功 耗 与 预 算 值 过 于 接 近 就 可 及 时 采 取 补 救 措 施 功 耗 估 计 的 精 确 性 因 设 计 阶 段 的 不 同 而 变 化 要 估 计 综 合 后 到 实 现 阶 段 的 功 耗, 应 运 行 report_ power 命 令, 或 打 开 Vivado IDE 中 的 Power Report 综 合 后 网 表 被 映 射 到 目 标 器 件 中 可 用 的 实 际 资 源 布 局 后 将 网 表 组 件 放 到 实 际 的 器 件 资 源 中 有 了 这 些 包 装 信 息, 最 终 的 逻 辑 资 源 数 和 配 置 将 变 得 可 用 这 些 精 确 数 据 可 被 导 出 到 赛 灵 思 功 耗 估 计 器 电 子 数 据 表 中 这 样 便 可 以 : 在 XPE 中 执 行 假 设 分 析 提 供 可 精 确 填 充 电 子 数 据 表 的 基 础 内 容, 便 于 以 后 在 具 有 相 同 特 性 的 设 计 中 使 用 如 需 了 解 更 多 信 息, 敬 请 参 阅 : 第 3 章 单 板 和 器 件 规 划 布 线 后 在 布 线 完 成 后, 所 用 布 线 资 源 的 所 有 相 关 细 节 和 设 计 中 每 个 路 径 的 体 时 序 信 息 均 可 被 定 义 除 了 对 最 佳 和 最 差 门 控 和 布 线 延 迟 下 的 实 现 电 路 功 能 进 行 核 实 之 外, 仿 真 器 还 能 显 示 内 部 节 点 的 具 体 行 为, 包 括 干 扰 在 实 际 测 量 原 型 设 计 电 路 板 的 功 耗 之 前, 此 阶 段 的 功 耗 分 析 可 以 提 供 最 为 精 确 的 功 耗 估 计 report_power 支 持 的 功 耗 估 计 类 型 report_power 命 令 支 持 两 种 功 耗 分 析 模 式 可 根 据 精 度 要 求 选 择 合 适 的 类 型 基 于 矢 量 的 估 计 可 在 设 计 开 发 的 各 个 阶 段 同 步 执 行 仿 真, 以 确 认 设 计 能 够 按 预 期 工 作 提 供 不 同 的 验 证 技 术, 用 以 根 据 设 计 开 发 状 态 设 计 复 杂 度 以 及 公 司 政 策 来 选 择 Send Feedback 284

285 第 5 章 : 实 现 下 面 几 段 将 重 点 介 绍 可 获 得 哪 些 有 用 数 据, 以 及 与 使 用 这 些 数 据 执 行 功 耗 分 析 有 关 的 常 见 陷 阱 实 现 精 确 功 耗 估 计 的 一 个 重 要 因 素 是 设 计 行 为 必 须 真 实 其 应 代 表 数 据 进 入 仿 真 模 块 的 最 佳 或 最 差 案 例 情 景 在 执 行 确 认 或 验 证 功 能 时 不 一 定 提 供 此 类 信 息 可 输 入 无 效 的 数 据 以 验 证 系 统 能 否 处 理 这 些 数 据 以 及 在 有 无 效 数 据 或 命 令 输 入 时 系 统 能 否 保 持 稳 定 使 用 这 种 测 试 案 例 执 行 功 耗 分 析 会 导 致 功 耗 估 计 不 精 确, 因 为 设 计 逻 辑 没 有 按 照 典 型 系 统 工 作 条 件 下 的 激 励 方 式 进 行 激 励 系 统 处 理 等 级 在 设 计 周 期 的 早 期, 您 可 能 已 经 建 立 了 PCB 上 的 器 件 之 间 或 者 FPGA 应 用 的 不 同 功 能 之 间 的 处 理 描 述 文 件 您 可 从 中 提 取 每 个 功 能 模 块 针 对 特 定 I/O 端 口 和 大 部 分 时 钟 域 的 预 期 行 为 该 信 息 有 助 于 您 填 写 赛 灵 思 功 耗 估 计 器 电 子 数 据 表 FPGA 描 述 等 级 当 为 应 用 定 义 RTL 时, 您 可 以 通 过 行 为 仿 真 来 验 证 该 功 能 这 样 有 助 于 验 证 数 据 流 以 及 针 对 时 钟 周 期 的 计 算 正 确 性 下 面 的 内 容 尚 无 法 实 现 : 所 使 用 的 准 确 FPGA 资 源 计 数 配 置 可 以 手 动 外 推 资 源 使 用 信 息, 并 提 取 针 对 I/O 端 口 或 内 部 制 信 号 ( 置 位 复 位 时 钟 使 能 ) 的 活 动 该 信 息 可 用 于 改 善 赛 灵 思 功 耗 估 计 器 电 子 数 据 表 信 息 您 的 仿 真 器 应 该 可 以 提 取 节 点 活 动, 并 以 SAIF 文 件 的 形 式 将 其 导 出 如 果 不 打 算 运 行 实 现 后 仿 真, 可 保 存 该 文 件 用 以 在 Vivado 设 计 套 件 设 计 流 程 ( 例 如 布 局 布 线 之 后 ) 中 实 现 更 加 精 确 的 功 耗 分 析 FPGA 实 现 等 级 可 在 实 现 流 程 的 不 同 阶 段 执 行 仿 真, 提 取 具 有 不 同 功 耗 信 息 的 结 果 这 些 信 息 也 可 用 来 改 善 赛 灵 思 功 耗 估 计 器 电 子 数 据 表 以 及 Vivado 设 计 套 件 功 耗 分 析 还 有 可 能 保 存 I/O 端 口 和 具 体 的 模 块 行 为, 以 便 在 Vivado 设 计 套 件 功 耗 分 析 中 重 新 使 用 Send Feedback 285

286 第 5 章 : 实 现 仿 真 文 件 Vivado 设 计 套 件 Report Power 将 设 计 数 据 库 中 的 网 与 仿 真 结 果 网 表 中 的 名 称 进 行 匹 配 功 耗 的 仿 真 数 据 被 存 储 在 Switching Activity Interchange Format (SAIF) 文 件 中 由 于 report_power 执 行 网 络 名 称 匹 配, 因 此 最 好 从 正 在 执 行 功 耗 分 析 的 同 一 个 设 计 视 图 ( 例 如 综 合 后 和 布 线 后 ) 中 获 取 仿 真 结 果 重 要 提 示 : 在 Vivado IDE 中 Report Power 对 话 框 的 Input Files 选 项 卡 中 设 定 SAIF 文 件 名, 以 便 读 取 SAIF 仿 真 输 出 文 件 或 者, 使 用 read_saif Tcl 命 令 读 取 SAIF 仿 真 输 出 文 件 如 需 了 解 如 何 从 Mentor Graphics ModelSim 仿 真 器 生 成 用 于 在 Vivado 设 计 套 件 中 进 行 功 耗 分 析 的 SAIF 文 件, 敬 请 参 阅 : 答 复 记 录 无 矢 量 估 计 当 未 提 供 设 计 节 点 活 动 时 ( 自 己 的 或 仿 真 结 果 ), 可 利 用 无 矢 量 功 耗 估 计 算 法 来 预 测 该 活 动 无 矢 量 引 擎 向 所 有 未 定 义 节 点 分 配 初 始 种 子 ( 默 认 信 号 速 率 和 静 态 概 率 ) 从 设 计 主 输 入 开 始, 经 过 各 种 电 路 元 件 将 活 动 传 播 到 内 部 节 点 ; 然 后 重 复 这 个 操 作 直 到 达 到 主 输 出 为 止 该 算 法 可 支 持 设 计 连 接 和 资 源 功 能 性 及 配 置 其 试 探 法 甚 至 可 接 近 网 表 中 任 意 节 点 的 毛 刺 速 率 在 稳 定 在 最 终 值 之 前, 设 计 元 素 会 在 时 钟 有 效 边 沿 之 间 多 次 变 换 状 态, 这 时 就 会 出 现 毛 刺 尽 管 无 矢 量 传 递 引 擎 没 有 布 线 后 仿 真 那 么 精 确, 但 具 有 较 长 但 比 较 合 理 的 持 续 时 间 和 真 实 的 激 励, 因 此 是 精 确 度 与 计 算 效 率 之 间 优 秀 的 折 衷 方 案 精 确 功 耗 分 析 的 最 佳 做 法 使 用 如 下 内 容 实 现 精 确 功 耗 分 析 : 精 确 时 钟 约 束 精 确 I/O 约 束 顶 层 控 制 信 号 上 的 精 确 信 号 速 率 和 高 电 平 占 空 百 分 比 (%) Send Feedback 286

287 第 5 章 : 实 现 精 确 时 钟 约 束 由 于 功 耗 很 大 程 度 取 决 于 工 作 频 率, 因 此 必 须 精 确 设 定 时 钟 频 率 无 论 进 行 何 种 设 计, 您 通 常 都 能 够 知 道 一 些 特 定 节 点 的 活 动, 因 为 它 们 是 由 系 统 规 范 或 FPGA 器 件 的 通 信 接 口 指 定 将 该 信 息 提 供 给 工 具, 这 样 有 助 于 引 导 功 耗 估 计 算 法 对 于 驱 动 多 个 FPGA 器 件 单 元 的 节 点 来 说, 该 信 息 尤 其 有 用 置 位 复 位 时 钟 使 能 时 钟 信 号 无 论 FPGA 时 钟 域 由 外 部 提 供 ( 输 入 端 口 ), 还 是 内 部 生 成, 或 是 从 外 部 提 供 给 PCB( 输 出 端 口 ), 通 常 都 能 知 道 所 有 FPGA 时 钟 域 的 精 确 频 率 精 确 I/O 约 束 在 知 道 FPGA 器 件 内 部 和 外 部 数 据 流 的 精 确 协 议 和 格 式 后, 通 常 就 可 以 针 对 一 些 I/O 组 件 在 工 具 中 设 定 信 号 转 换 速 率 和 / 或 高 电 平 占 空 百 分 比 例 如, 有 些 协 议 具 有 DC 平 衡 要 求 ( 高 电 平 占 空 百 分 比 =50%), 或 者 您 可 能 知 道 从 存 储 器 接 口 读 写 数 据 的 频 度 这 样 您 就 可 以 设 定 选 通 脉 冲 和 数 据 信 号 的 数 据 速 率 由 输 出 端 口 驱 动 的 电 路 板 和 其 它 部 电 容 通 常 是 已 知 的 在 Tcl 提 示 信 息 中 输 入 下 面 的 内 容, 用 以 设 置 所 有 输 出 端 口 上 的 负 载 : set_load <value in pf> [all_outputs] 顶 层 控 制 信 号 上 的 精 确 信 号 速 率 和 高 电 平 占 空 百 分 比 (%) 了 解 系 统 和 预 期 功 能 之 后, 就 可 以 预 测 控 制 信 号 ( 例 如 置 位 复 位 和 时 钟 使 能 ) 的 活 动 由 于 这 些 信 号 通 常 可 打 开 和 关 闭 大 块 设 计 逻 辑, 因 此 提 供 该 活 动 信 息 可 显 著 提 高 功 耗 估 计 精 度 如 果 知 道 I/O 接 口 的 数 据 模 式, 应 设 定 该 活 动 除 非 您 在 单 独 的 工 具 ( 例 如 电 子 数 据 表 ) 中 计 算 Send Feedback 287

288 第 5 章 : 实 现 每 个 电 源 的 总 功 率, 否 则 应 指 定 输 出 的 终 端 技 术, 以 便 让 Report Power 将 FPGA 器 件 提 供 给 外 部 元 件 的 功 耗 包 含 在 内 可 通 过 Tcl 提 示 信 息 中 的 set_switching_activity, 或 Vivado IDE 功 耗 属 性 窗 口 中 的 高 信 号 速 率 和 静 态 概 率 (%) 提 供 以 上 所 有 信 号 类 型 的 准 确 信 号 特 性 工 程 器 件 设 置 检 查 Report Power 对 话 框 Environment 和 Power Supply 选 项 卡 中 不 同 的 用 户 可 编 辑 选 项 确 保 流 程 电 压 和 环 境 数 据 预 期 环 境 匹 配 良 好 这 些 设 置 对 总 体 估 计 功 耗 有 显 著 影 响 这 些 选 项 卡 中 的 用 户 可 编 辑 选 项 为 : 器 件 设 置 设 计 热 设 置 供 电 电 压 设 置 器 件 设 置 温 度 等 级 选 择 合 适 的 器 件 等 级 ( 一 般 是 商 用 或 工 业 ) 有 些 器 件 根 据 设 置 情 况 可 能 具 有 不 同 的 器 件 静 态 功 耗 技 术 参 数 合 理 设 置 可 以 正 确 显 示 所 选 器 件 的 结 温 限 值 流 程 对 于 最 差 情 况 分 析, 建 议 的 流 程 设 置 为 Maximum 尽 管 默 认 设 置 Typical 的 统 计 测 量 结 果 更 精 确, 但 将 设 置 改 为 Maximum 可 将 功 耗 参 数 改 为 最 差 情 况 值 在 Tcl 提 示 信 息 中, 使 用 以 下 内 容 设 置 温 度 等 级 和 流 程 选 项 : set_operating_conditions -process maximum set_operating_conditions -grade industrial 设 计 热 设 置 检 查 Report Power 对 话 框 Environment 选 项 卡 中 不 同 的 用 户 可 编 辑 选 项 Send Feedback 288

289 第 5 章 : 实 现 环 境 温 度 (C) 对 采 用 FPGA 设 计 的 机 壳 的 内 部 最 大 预 期 温 度 进 行 设 定 该 部 分 以 及 气 流 和 其 它 热 耗 散 路 径 ( 例 如 散 热 片 ) 可 实 现 对 结 温 的 精 确 计 算 从 而 实 现 更 加 精 确 的 器 件 静 态 功 耗 计 算 在 Tcl 提 示 信 息 中, 输 入 如 下 内 容 以 设 置 环 境 温 度 选 项 : set_operating_conditions -ambient_temp 75 气 流 (LFM) 经 过 芯 片 的 气 流 以 每 分 钟 英 尺 (LFM) 为 单 位 进 行 测 量 LFM 的 计 算 方 法 是 用 每 分 钟 立 方 英 尺 (CFM) 的 风 扇 出 风 量 除 以 空 气 通 过 的 横 截 面 积 FPGA 器 件 或 风 扇 的 特 定 摆 放 位 置 可 能 会 影 响 通 过 器 件 的 有 效 空 气 流 动, 从 而 影 响 热 耗 散 这 一 参 数 的 默 认 数 值 为 250 LFM 如 果 计 划 让 FPGA 器 件 在 无 气 流 运 动 下 工 作 ( 静 止 空 气 运 行 ), 应 将 250 FM 默 认 值 改 为 0 LFM 在 Tcl 提 示 信 息 中, 输 入 以 下 内 容 以 设 置 气 流 选 项 : set_operating_conditions - airflow 250 散 热 片 ( 如 果 有 ) 如 果 使 用 散 热 片, 而 且 没 有 比 较 具 体 的 散 热 信 息, 应 针 对 散 热 片 种 类 选 择 合 适 的 外 形 这 一 要 求 ( 以 及 其 它 输 入 参 数 ) 有 助 于 计 算 有 效 的 ThetaJB(PCB 热 阻 ), 从 而 实 现 更 精 确 的 结 温 和 静 态 功 耗 计 算 根 据 插 座 的 设 计 以 及 结 构, 有 些 插 座 可 起 到 散 热 片 的 作 用 在 Tcl 提 示 信 息 中, 输 入 以 下 内 容 以 设 置 散 热 片 选 项 : set_operating_conditions - heatsink low 电 路 板 选 择 和 板 层 数 量 ( 如 果 有 ) 选 择 合 适 的 电 路 板 尺 寸 和 层 数, 并 考 虑 电 路 板 本 身 的 导 热 性, 有 助 于 计 算 有 效 的 ThetaJB ThetaJB(PCB 热 阻 ) 如 果 有 更 加 精 确 的 电 路 板 和 系 统 的 热 模 型, 应 使 用 该 模 型 规 定 来 自 FPGA 器 件 的 散 热 量 Send Feedback 289

290 第 5 章 : 实 现 自 定 义 ThetaJB 设 定 的 越 精 确, 结 温 的 估 计 值 就 越 精 确, 从 而 影 响 器 件 静 态 功 耗 计 算 重 要 提 示 : 要 设 定 自 定 义 ThetaJB, 必 须 将 Board Selection 设 置 为 Custom 如 果 设 定 了 自 定 义 ThetaJB, 还 必 须 设 定 Board Temperature 用 以 精 确 计 算 功 耗 在 Tcl 提 示 信 息 中, 输 入 以 下 内 容 以 设 定 开 发 板 选 项 : set_operating_conditions - board jedec 在 Tcl 提 示 信 息 中, 输 入 以 下 内 容 以 设 定 ThetaJB 选 项 : set_operating_conditions - thetajb 3 供 电 电 压 设 置 查 看 Report Power 对 话 框 Power Supply 选 项 卡 中 不 同 的 用 户 可 编 辑 选 项 电 源 如 果 电 源 信 息 已 知, 应 确 保 在 Power Supply 选 项 卡 中 针 对 不 同 电 源 正 确 设 定 所 有 电 压 级 电 压 是 产 生 静 态 和 动 态 功 耗 的 主 要 因 素 在 Tcl 提 示 信 息 中 输 入 以 下 内 容, 以 设 定 VccAux 供 电 线 的 电 压 : set_operating_conditions -voltage {Vccaux <value>} 在 运 行 Vivado 设 计 套 件 功 耗 分 析 后 检 查 设 计 的 功 耗 分 配 打 开 一 览 表 (Summary) 视 图 查 看 片 上 总 功 耗 (Total On-Chip Power) 和 热 属 性 片 上 功 耗 (On-Chip Power) 图 表 显 示 了 每 种 器 件 资 源 的 功 耗 有 了 这 个 高 级 视 图, 您 就 可 以 确 定 设 计 中 的 哪 些 部 对 总 功 耗 的 贡 献 最 多 电 源 (Power Supply) 选 项 卡 显 示 每 个 电 源 的 电 流 消 耗, 并 将 总 值 分 解 为 静 态 和 动 态 功 耗 如 需 在 资 源 利 用 详 情 (Utilization Detail) 选 项 卡 中 查 看 器 件 资 源 功 耗 的 更 多 详 情, 可 点 击 图 表 中 的 不 同 资 源 类 型 不 同 资 源 视 图 被 组 织 成 树 形 表 可 拖 拽 列 标 题 改 变 列 顺 序 点 击 列 标 题 可 改 变 排 列 顺 序 Send Feedback 290

291 第 5 章 : 实 现 在 运 行 Vivado 设 计 套 件 功 耗 分 析 后 进 一 步 优 化 控 制 信 号 活 动 当 用 基 于 SAIF 的 注 释 进 行 精 确 功 耗 分 析 时, 可 在 完 成 第 一 级 分 析 后 精 确 调 整 功 耗 分 析 报 告 功 耗 (Report Power) 提 取 并 列 出 信 号 (Signal) 视 图 中 所 有 不 同 的 控 制 信 号 您 可 能 从 应 用 的 预 期 行 为 方 式 中 了 解 到 有 些 置 位 / 复 位 信 号 在 正 常 设 计 操 作 时 处 于 非 活 动 状 态 这 种 情 况 下, 可 能 需 要 调 整 这 些 信 号 的 活 动 状 态 类 似 地, 应 用 中 的 有 些 信 号 在 某 些 模 块 未 使 用 时 会 禁 用 设 计 中 的 所 有 模 块 应 根 据 预 期 功 能 调 整 它 们 的 活 动 状 态 综 合 工 具 和 布 局 布 线 算 法 可 推 理 或 重 新 映 射 控 制 信 号 以 优 化 您 的 RTL 描 述, 因 此 视 图 中 所 列 出 的 很 多 信 号 可 能 比 较 陌 生 如 果 您 不 确 定 这 些 是 什 么 信 号, 可 以 用 工 具 确 定 其 活 动 状 态 写 出 功 耗 报 告 文 本 文 件 从 Vivado IDE 中 的 流 程 导 航 器 (Flow Navigator) 窗 口 打 开 Report Power 对 话 框 使 用 该 对 话 框 检 查 功 耗 设 置, 并 调 您 的 设 计 中 已 知 元 素 的 活 动 状 态 为 进 行 工 程 存 档, 您 可 能 希 望 将 功 耗 估 计 结 果 保 存 在 输 出 文 本 文 件 中 在 其 它 情 况 下, 您 可 能 要 试 验 不 同 的 映 射 布 局 布 线 选 项, 以 满 足 性 能 或 区 域 约 束 当 多 个 试 验 结 果 满 足 要 求 时, 保 存 每 次 试 验 的 功 耗 结 果 有 助 于 您 选 择 功 耗 最 低 的 解 决 方 案 在 Tcl 提 示 信 息 中 输 入 如 下 容 : report_power -file report.pwr 功 耗 引 擎 在 当 前 工 作 目 录 下 写 出 一 个 report.pwr 文 件 该 文 件 包 含 功 耗 估 计 结 果 将 Vivado 工 具 的 功 耗 估 计 结 果 导 出 到 XPE 从 Vivado IDE 中 打 开 Report Power 对 话 框 您 可 在 该 对 话 框 中 检 查 功 耗 设 置, 并 调 整 您 的 设 计 中 已 知 元 素 的 活 动 状 态 赛 灵 思 功 耗 估 计 器 (XPE) 输 出 文 件 将 所 有 环 境 信 息 器 件 使 用 和 设 计 活 动 保 存 在 一 个.xpe 文 件 中, 随 后 您 可 将 该 文 件 导 入 到 XPE 电 子 数 据 表 当 超 出 功 耗 预 算, 而 且 仅 凭 软 件 优 化 功 能 无 法 满 足 您 的 预 算 要 求 时, 该 文 件 可 派 上 用 场 Send Feedback 291

292 第 5 章 : 实 现 在 这 种 情 况 下, 您 可 以 : 将 当 前 的 实 现 结 果 导 入 到 XPE 探 索 不 同 的 映 射 门 控 折 叠 (folding) 及 其 它 策 略 在 修 改 RTL 代 码 或 重 新 运 行 实 现 之 前 评 估 它 们 对 功 耗 的 影 响 将 您 XPE 电 子 数 据 表 中 的 假 设 与 这 些 最 终 结 果 进 行 对 比 这 样 有 助 于 在 以 后 的 设 计 中 为 XPE 供 更 精 确 的 输 入 在 Tcl 提 示 信 息 中 输 入 如 下 内 容 : report_power -xpe report.xpe 功 耗 引 擎 在 当 前 工 作 目 录 下 写 出 一 个 report.xpe 文 件 该 文 件 现 可 被 导 入 到 XPE 电 子 数 据 表 中 功 耗 优 化 如 果 功 耗 估 计 值 超 出 预 算, 您 必 须 采 取 如 下 措 施 以 降 低 功 耗 分 析 功 耗 估 计 和 优 化 结 果 运 行 功 耗 优 化 使 用 功 耗 优 化 报 告 使 用 时 序 报 告 来 确 定 功 耗 优 化 的 影 响 分 析 功 耗 估 计 结 果 和 优 化 结 果 功 耗 估 计 结 果 生 成 之 后, 赛 灵 思 建 议 执 行 如 下 步 骤 : 在 一 览 表 (Summary) 部 分 检 查 总 功 耗 总 功 耗 和 结 温 是 否 符 合 热 性 能 与 功 耗 预 算? 如 果 结 果 严 重 超 出 预 算, 应 根 据 模 块 类 型 和 电 源 轨 检 查 功 耗 总 分 配 情 况 这 样 可 找 到 功 耗 最 的 模 块 检 查 层 级 (Hierarchy) 部 分 按 照 层 级 划 分 后, 很 容 易 找 到 功 耗 最 高 的 模 块 可 以 深 入 研 究 特 定 模 块 以 确 定 各 模 块 的 功 能 您 也 可 以 在 GUI 中 进 行 交 叉 追 踪, 以 确 定 模 块 中 特 定 部 分 的 编 码 方 式, 并 确 定 是 否 存 在 可 降 低 功 耗 的 其 它 编 码 方 式 Send Feedback 292

293 第 5 章 : 实 现 运 行 功 耗 优 化 提 示 : 要 实 现 功 耗 优 化 的 最 大 效 用, 敬 请 参 阅 第 158 页 改 善 功 耗 的 编 码 方 法 功 耗 优 化 功 能 能 够 使 整 个 设 计 或 部 分 设 计 ( 当 使 用 set_power_opt 时 ) 的 功 耗 降 至 最 低 功 耗 优 化 可 以 在 设 计 流 程 布 局 前 或 布 局 后 使 用, 但 不 能 在 两 个 阶 段 同 时 使 用 该 功 能 布 局 前 功 耗 优 化 步 骤 着 重 于 最 大 限 度 节 省 功 耗 但 这 会 降 低 时 序 性 能 ( 较 少 情 况 下 ) 如 果 保 持 时 序 是 首 要 目 标, 那 么 赛 灵 思 建 议 使 用 布 局 后 功 耗 优 化 步 骤 该 步 骤 只 执 行 可 保 持 时 序 不 变 的 功 耗 优 化 一 些 情 况 下, 出 于 传 统 IP 或 时 序 的 考 虑, 应 保 存 部 分 设 计, 使 用 set_power_opt 命 令 来 排 除 这 些 设 计 部 分 ( 诸 如 特 定 层 级 时 钟 域 或 单 元 类 型 ) 并 重 新 运 行 功 耗 优 化 使 用 功 耗 优 化 报 告 为 确 定 功 耗 优 化 的 效 用, 应 在 TCL 控 制 台 中 运 行 如 下 命 令 以 生 成 功 耗 优 化 报 告 : report_power_opt -file myopt.rep 使 用 时 序 报 告 确 定 功 耗 优 化 的 影 响 功 耗 优 化 的 作 用 是 在 最 大 限 度 节 省 功 耗 的 同 时 将 其 对 时 序 的 影 响 最 小 化 但 在 特 定 情 况 下, 如 果 时 序 在 功 耗 优 化 后 变 差, 您 可 以 采 用 一 些 技 术 来 抵 消 这 一 影 响 尽 可 能 只 在 使 用 set_power_opt XDC 命 令 的 非 时 序 关 键 型 时 钟 域 或 模 块 中 应 用 功 耗 优 化 如 果 最 关 键 的 时 钟 域 恰 好 涵 盖 设 计 的 绝 大 部 分 或 者 消 耗 的 功 耗 最 多, 那 么 应 检 查 关 键 路 径 中 的 所 有 单 元 是 否 都 进 行 了 功 耗 优 化 进 行 过 功 耗 优 化 的 对 象 都 具 有 IS_CLOCK_GATED 属 性 应 在 功 耗 优 化 中 排 除 这 些 单 元 要 定 位 时 钟 门 控 单 元, 运 行 如 下 Tcl 命 令 : get_cells -hier -filter {IS_CLOCK_GATED==1} Send Feedback 293

294 第 6 章 配 置 与 调 试 配 置 与 调 试 简 介 配 置 是 将 特 定 应 用 数 据 ( 比 特 流 ) 加 载 到 FPGA 器 件 内 部 存 储 器 的 过 程 如 果 设 计 不 能 满 足 硬 件 需 求, 就 需 要 进 行 调 试 成 功 完 成 设 计 实 现 后, 下 一 步 就 是 将 设 计 载 入 FPGA 并 在 硬 件 上 运 行 参 阅 下 面 两 个 用 户 指 南, 详 细 了 解 配 置 及 调 试 软 件 的 流 程 和 命 令 : Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)[ 参 考 资 料 20] Vivado 设 计 套 件 Tcl 命 令 参 考 指 南 (UG835)[ 参 考 资 料 24] 配 置 本 章 节 介 绍 选 择 好 配 置 模 式 后 如 何 成 功 实 现 目 标 配 置 解 决 方 案, 以 及 将 设 计 加 载 到 FPGA 器 件 的 方 法 初 始 规 划 阶 段 的 常 见 配 置 模 式 和 建 议, 敬 请 参 阅 第 三 章 : 单 板 和 器 件 规 划, 以 及 相 应 的 FPGA 系 列 的 配 置 用 户 指 南 如 需 了 解 更 多 信 息, 敬 请 参 阅 以 下 资 料 : Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)[ 参 考 资 料 20] Vivado 视 频 教 程 主 页 上 提 供 的 编 程 与 调 试 视 频 教 程 [ 参 考 资 料 23] 必 须 在 成 功 完 成 设 计 的 综 合 及 实 现 后, 才 能 创 建 比 特 流 (.bit) 文 件 一 旦 创 建 比 特 流, 可 通 过 下 述 两 种 方 法 中 的 任 何 一 种 即 可 将 其 加 载 到 FPGA 器 件 : Send Feedback 294

295 第 6 章 : 配 置 与 调 试 直 接 编 程 通 过 电 缆 处 理 器 或 定 制 解 决 方 案 将 比 特 流 直 接 加 载 到 FPGA 器 件 间 接 编 程 可 先 将 比 特 流 加 载 到 外 部 闪 存 存 储 器 闪 存 存 储 器 再 将 比 特 流 加 载 到 FPGA 器 件 赛 灵 思 提 供 的 软 件 工 具 可 用 于 : 创 建 FPGA 比 特 流 (.bit 或.rbt) 将 比 特 流 格 式 转 换 成 闪 存 编 程 文 件 (.mcs) 直 接 对 FPGA 器 件 进 行 编 程 间 接 对 连 接 的 配 置 闪 存 器 件 进 行 编 程 软 件 流 程 简 介 图 6-1 :FPGA 的 直 接 和 间 接 编 程 赛 灵 思 提 供 不 同 的 配 置 解 决 方 案 一 些 通 用 设 置 适 用 于 所 有 模 式, 一 些 独 特 设 置 则 适 用 于 特 定 配 置 模 式, 为 确 保 无 缝 实 现, 我 们 将 对 此 加 以 评 估 本 章 节 提 出 的 建 议 涉 及 比 特 流 生 成 /FPGA 配 置 等 一 系 列 内 容 Send Feedback 295

296 第 6 章 : 配 置 与 调 试 比 特 流 生 成 本 章 节 重 点 介 绍 比 特 流 文 件 生 成 不 同 配 置 模 式 的 主 要 属 性 如 需 了 解 有 关 配 置 比 特 流 生 成 的 属 性 和 值 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)[ 参 考 资 料 20] 如 需 了 解 有 关 设 置 各 自 属 性 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 Tcl 命 令 参 考 指 南 (UG835)[ 参 考 资 料 24] 如 需 了 解 有 关 如 何 使 用 write_bitstream 命 令 的 更 多 信 息, 敬 请 观 看 视 频 :Vivado 设 计 套 件 快 速 入 门 视 频 : 如 何 在 Vivado 设 计 套 件 中 使 用 write_bitstream 命 令 比 特 流 (.bit) 是 代 表 用 户 设 计 的 二 进 制 文 件 比 特 流 包 含 的 配 置 数 据 可 载 入 FPGA 器 件 一 些 比 特 流 文 件 格 式 选 项 和 多 种 比 特 流 输 入 选 项 可 通 过 对 FPGA 内 部 配 置 寄 存 器 进 行 初 始 化 来 支 持 相 关 特 性 在 生 成 比 特 流 文 件 之 前, 应 先 分 析 比 特 流 输 入 选 项, 确 保 其 针 对 目 标 配 置 模 式 进 行 了 正 确 设 置, 这 一 点 非 常 重 要 在 Vivado 工 具 中, 配 置 设 置 通 过 使 用 Tcl 命 令 write _bitstream 来 管 理 在 XDC 文 件 或 Tcl 命 令 行 解 释 器 (command shell) 中 使 用 set_property 命 令 来 设 置 适 当 的 配 置 设 置 属 性 和 值 通 用 比 特 流 属 性 在 比 特 流 生 成 过 程 中, 应 审 核 下 列 属 性 集 这 些 设 置 可 确 保 用 户 器 件 成 功 完 成 配 置, 且 必 须 根 据 所 使 用 的 配 置 模 式 进 行 定 制 在 XDC 文 件 中 设 置 比 特 流 生 成 属 性 下 列 例 子 介 绍 的 是 使 用 set_property 命 令 设 置 属 性 名 称 使 用 list_property_value 可 查 看 属 性 可 使 用 的 值, 也 可 参 考 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908) 中 的 这 个 链 接 配 置 时 钟 频 率 ( 主 模 式 配 置 需 要 ) 用 BITSTREAM.CONFIG.CONFIGRATE 属 性 来 设 置 该 属 性 用 于 设 置 内 部 配 置 时 钟 振 荡 器 的 额 定 频 率 (MHz) 实 际 时 钟 频 率 可 在 额 定 频 率 ±50% 范 围 内 变 动 因 此 应 保 证 实 际 频 率 范 围 的 上 限 不 超 过 所 需 配 置 模 式 设 置 支 持 的 最 大 频 率 每 个 FPGA 器 件 都 对 应 唯 一 的 一 组 属 性 值 配 置 启 动 时 钟 的 选 择 取 决 于 配 置 模 式, 且 可 使 用 比 特 流 属 性 BITSTREAM.CONFIG.STARTUPCLK 设 置 对 JTAG 模 式 来 说, 在 比 特 流 选 择 的 启 动 时 钟 应 为 JtagClk 对 其 它 配 置 模 式, 通 常 选 择 Send Feedback 296

297 第 6 章 : 配 置 与 调 试 Cclk, 以 便 FPGA 器 件 使 用 CCLK 或 EMCCLK 上 提 供 的 时 钟 为 启 动 状 态 机 提 供 时 钟 信 号 使 用 主 模 式 中 的 外 部 主 配 置 时 钟 (EMCCLK) 速 率 选 项 来 获 得 更 精 确 更 高 频 率 的 配 置 时 钟 确 保 启 用 比 特 流 属 性 BITSTREAM.CONFIG.EXTMASTERCCLK_EN ; 确 保 通 过 CONFIG_VOLTAGE 约 束, 或 在 设 计 中 使 用 EMCCLK 信 号 并 设 定 IOSTANDARD 电 压 方 式 设 定 EMCCLK 信 号 电 压 接 近 闪 存 边 界 大 小 的 设 计 可 受 益 于 比 特 流 压 缩 压 缩 还 可 缩 短 闪 存 编 程 时 间, 且 可 使 用 比 特 流 属 性 BITSTREAM.GENERAL.COMPRESS 设 置 Startup 顺 序 非 常 重 要 大 多 数 情 况 下 可 使 用 STARTUP Cycle 的 默 认 设 置 赛 灵 思 FPGA 器 件 的 编 程 内 容 可 通 过 JTAG 进 行 验 证 要 执 行 验 证 操 作, 应 在 与 比 特 流 的 同 一 目 录 下 用 -mask_file 选 项 和 write_bitstream 命 令 的 -readback_file 选 项 创 建 掩 膜 文 件 (.msk) 主 SPI 配 置 模 式 的 比 特 流 属 性 在 主 SPI 配 置 模 式 中, 特 定 选 项 在 比 特 流 生 成 过 程 中 支 持 关 键 特 性 为 主 SPI 配 置 模 式 生 成 适 当 格 式 化 的 比 特 流 时 应 参 考 以 下 设 置 : 对 256Mb 或 更 大 容 量 的 SPI NOR 闪 存 来 说,FPGA 器 件 应 使 用 32 位 寻 址 比 特 流 属 性 BITSREAM. CONFIG_SPI_32BIT_ADDR 对 SPI NOR 闪 存, 使 用 比 特 流 属 性 BITSREAM.CONFIG_SPI_BUSWIDTH 将 总 线 宽 度 设 为 正 确 值 总 线 宽 度 大 于 默 认 值 时, 可 加 快 配 置 速 度 对 SPI NOR 闪 存, 如 果 需 要 加 快 配 置 速 度, 可 设 置 比 特 流 属 性 BITSREAM.CONFIG_SPI_FALL_ EDGE, 则 应 启 用 FPGA 器 件 下 降 沿 数 据 时 钟 的 选 项 由 于 SPI NOR 闪 存 提 供 下 降 沿 数 据 输 出 时 钟, 该 选 项 能 提 供 完 整 的 周 期 让 数 据 抵 达 FPGA 器 件, 从 而 提 高 CCLK 的 频 率 由 于 SPI 闪 存 没 有 并 行 NOR 闪 存 这 样 的 回 绕 指 示 符 (wraparound indicator), 需 要 用 比 特 流 属 性 BITSREAM.CONFIG.TIMER_CFG 来 为 设 计 启 用 一 个 定 时 器, 以 便 检 测 配 置 是 否 失 败 主 BPI 配 置 模 式 的 比 特 流 属 性 在 主 BPI 配 置 模 式 中, 一 些 特 定 选 项 在 比 特 流 生 成 过 程 中 可 实 现 关 特 性 Send Feedback 297

298 第 6 章 : 配 置 与 调 试 如 果 需 要 异 步 读 取 的 主 BPI 配 置 模 式, 但 同 时 又 希 望 加 快 配 置 速 度, 那 么 应 使 用 页 面 模 式 和 读 周 期 选 项 通 过 使 用 比 特 流 属 性 BITSTREAM.CONFIG.BPI_PAGE_SIZE 和 BITSTREAM.CONFIG. BPI_1ST_READ_CYCLE 可 启 用 这 些 选 项 如 还 需 进 一 步 加 快 主 BPI 配 置 模 式 的 配 置 速 度, 赛 灵 思 7 系 列 FPGA 器 件 和 UltraScale FPGA 器 件 还 提 供 突 发 同 步 读 取 选 项 启 用 该 选 项 可 使 用 比 特 流 属 性 BITSTREAM.CONFIG.BPI_SYNC_ MODE 选 择 并 行 NOR 闪 存, 如 Micron G18F/MT28GUAAx1E ( 类 型 1) 和 Micron P30/P33 ( 类 型 2) 闪 存 系 列 用 于 加 密 的 比 特 流 属 性 如 果 需 要 考 虑 比 特 流 的 安 全 和 加 密, 在 生 成 比 特 流 的 过 程 中 启 用 安 全 加 密 功 能 闪 存 文 件 生 成 对 于 采 用 SelectMAP 配 置 模 式 且 数 据 总 线 宽 度 (x8 x16 或 x32) 不 同 的 处 理 器, 或 对 SPI 或 BPI 配 置 模 式 下 使 用 的 闪 存 存 储 器 器 件, 在 编 程 时 需 要 特 殊 格 式 的 文 件 常 用 文 件 格 式 为 Intel Hex (.mcs) 文 本 文 件 格 式 自 版 起,Vivado 工 具 支 持 用 write_cfgmem 生 成 闪 存 文 件 (.mcs) 赛 灵 思 write_cfgmem 实 用 工 具 可 将 比 特 流 (.bit) 转 换 为 闪 存 编 程 文 件 (.mcs) 重 要 提 示 : 如 果 用 户 使 用 的 是 版 本 之 前 的 版 本, 如 果 要 生 成 MCS 文 件, 必 须 从 ISE 设 计 套 件 安 装 LabTool 应 注 意 在 write_cfgmem 命 令 中 使 用 正 确 的 接 口 选 项 来 设 置 数 据 宽 度 x8 数 据 宽 度 的 BPI 模 式 就 应 该 使 用 -interface bpix8 开 关 如 果 闪 存 编 程 文 件 中 的 数 据 次 序 不 正 确,FPGA 就 无 法 正 确 使 用 闪 存 存 储 器 完 成 配 置 Vivado 设 计 套 件 器 件 编 程 器 :JTAG 在 线 编 程 Vivado 设 计 套 件 器 件 编 程 器 可 提 供 多 项 功 能, 最 常 见 的 用 途 就 是 通 过 JTAG 和 赛 灵 思 配 套 提 供 的 下 载 电 缆 给 FPGA 器 件 编 程, 间 接 编 程 外 部 SPI 闪 存 和 并 行 NOR 闪 存, 或 对 FPGA 器 件 efuse AES 密 钥 或 用 户 代 码 进 行 编 程 如 需 了 解 更 多 支 持 和 命 令 信 息, 敬 请 查 阅 : Vivado 设 计 套 件 Tcl 命 令 参 考 指 南 (UG835)[ 参 考 资 料 24] Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG908)[ 参 考 资 料 20] Send Feedback 298

299 第 6 章 : 配 置 与 调 试 用 JTAG 配 置 FPGA 器 件 大 多 数 用 户 设 计 用 JTAG 配 置 模 式 来 启 动 单 板 简 单 的 接 口 支 持 快 速 转 动 来 测 试 FPGA 设 计 功 能 本 模 式 需 要 JTAG 电 缆 接 口 用 Vivado 设 计 套 件 器 件 编 程 器 对 FPGA 器 件 进 行 编 程 时, 请 记 住 JTAG 最 大 频 率 受 限 于 JTAG 链 中 速 度 最 慢 的 器 件 间 接 编 程 SPI NOR 或 并 行 NOR 闪 存 对 采 用 外 部 闪 存 的 基 本 配 置 解 决 方 案 而 言,FPGA 器 件 自 动 在 加 电 时 从 闪 存 存 储 器 检 索 比 特 流 由 于 可 将 FPGA 器 件 连 接 到 闪 存 存 储 器 进 行 配 置, 这 就 使 FPGA 器 件 能 够 通 过 连 接 接 口 对 闪 存 进 行 编 程 在 线 间 接 编 程 闪 存 是 进 行 原 型 设 计 常 用 的 选 项 Vivado 设 计 套 件 ( 版 和 更 高 版 本 ) 支 持 间 接 编 程 某 些 SPI NOR 选 项 和 并 行 NOR 闪 存 可 通 过 预 加 载 JTAG-to-SPI 或 JTAG-to- BPI 比 特 流 文 件 对 闪 存 进 行 间 接 编 程 有 关 支 持 的 闪 存 存 储 器 器 件 列 表, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG 908)[ 参 考 资 料 20] 应 对 预 加 载 比 特 流 文 件 上 未 使 用 的 I/O 可 编 程 上 拉 或 下 拉 行 为 进 行 处 理, 从 而 避 免 竞 争 出 现 对 赛 灵 思 7 系 列 FPGA 器 件 和 UltraScale FPGA 器 件, 应 在 预 制 的 比 特 流 中 将 未 使 用 的 I/O 设 为 三 态 如 果 任 何 信 号 都 不 适 用 此 态, 则 应 外 部 上 拉 或 下 拉 表 6-1 : 闪 存 间 接 编 程 建 议 操 作 建 议 擦 除 闪 存 器 件 是 非 易 失 性 的 器 件, 编 程 前 必 须 进 行 擦 除 除 非 指 定 擦 除 全 芯 片, 否 则 只 擦 除 指 定 MCS 覆 盖 的 地 址 范 围 BlankCheck 确 认 擦 除 操 作 回 读 将 闪 存 内 容 读 回 文 件, 与 原 始 闪 存 编 程 文 件 进 行 比 较 该 操 作 将 回 读 全 部 闪 存 内 容, 而 不 仅 是 指 定 MCS 覆 盖 的 地 址 范 围 建 议 : 闪 存 操 作 的 最 大 电 缆 速 度 请 参 见 软 件 手 册, 不 应 超 出 这 一 限 制 如 需 了 解 间 接 编 程 SPI 闪 存 或 并 行 NOR 闪 存 以 及 相 关 命 令 的 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG 908)[ 参 考 资 料 20] 将 AES 密 钥 编 程 到 BBR 或 efuse 定 义 FPGA 器 件 功 能 的 比 特 流 可 在 加 电 时 载 入 器 件 如 果 您 想 要 保 护 比 特 流 和 器 件 中 嵌 入 的 任 何 Send Feedback 299

300 第 6 章 : 配 置 与 调 试 IP 核, 可 采 取 一 些 相 关 技 术 提 供 高 度 设 计 安 全 性 的 最 强 大 技 术 包 括 : 高 级 加 密 标 准 (AES-256) 加 密, 配 合 电 池 供 电 的 SRAM 密 钥 AES-256, 配 合 非 易 失 性 efuse 密 钥 如 需 了 解 更 多 信 息, 敬 请 参 见 器 件 系 列 的 配 置 用 户 指 南 注 意!eFUSE 密 钥 编 程 时 要 注 意, 此 类 密 钥 只 能 一 次 性 编 程, 但 有 电 池 供 电 的 SRAM 密 钥 可 擦 除 且 可 再 编 程 基 本 配 置 调 试 本 章 节 讨 论 的 最 佳 实 践 在 您 实 施 配 置 解 决 方 案 遇 到 问 题 时 可 提 供 调 试 和 问 题 解 决 在 开 始 配 置 解 决 方 案 的 全 面 调 试 前, 应 采 用 比 特 流 默 认 值 创 建 和 测 试 简 单 设 计 ( 如 计 数 器 或 LED 输 出 模 式 ) 这 种 简 单 设 计 测 试 有 助 于 排 除 高 级 比 特 流 设 置 或 单 板 接 口 的 潜 在 问 题 文 件 生 成 审 核 如 配 置 没 有 成 功 完 成, 应 审 核 比 特 流 属 性 和 闪 存 编 程 文 件 选 项 是 否 正 确 选 择 要 确 认 文 件 使 用 的 比 特 流 生 成 选 项, 请 运 行 以 下 Tcl 命 令 : report_property [current_design] 本 命 令 显 示 设 计 应 用 的 不 同 于 默 认 设 置 的 所 有 属 性 如 没 有 显 示 值, 则 说 明 应 用 了 默 认 值 此 外, 应 审 核 write_cfgmem 的 各 项 闪 存 编 程 文 件 生 成 选 项 状 态 引 脚 调 试 时 建 议 采 用 板 上 的 物 理 状 态 引 脚 两 个 最 重 要 信 号 为 INIT_B 和 DONE 此 类 信 号 要 连 接 到 LED INIT_B 有 多 个 功 能 该 引 脚 从 低 到 高 脉 冲 说 明 加 电 初 始 化 完 成 配 置 期 间 INIT_ B 信 号 下 降 说 明 FPGA 器 件 遇 到 比 特 流 CRC 错 误 存 取 INIT_B 和 DONE 对 FGA 配 置 调 试 来 说 至 关 重 要 Send Feedback 300

301 第 6 章 : 配 置 与 调 试 除 了 状 态 信 号 之 外, 一 些 关 键 的 配 置 引 脚 也 可 提 供 有 用 信 息 为 避 免 配 置 期 间 出 现 问 题, 必 须 对 这 些 引 脚 进 行 妥 善 处 理 下 表 给 出 了 这 些 引 脚 表 6-2 : 关 键 配 置 引 脚 M[2:0] 引 脚 描 述 应 在 配 置 期 间 绑 定 并 设 为 静 态 FPGA 器 件 加 电 时 读 取 模 式 引 脚, 明 确 应 使 用 哪 些 配 置 模 式 PROGRAM_B 将 清 空 FPGA 配 置 存 储 器 并 导 致 配 置 再 次 开 始 PROGRAM_ B 通 常 绑 定 于 按 钮, 便 于 操 作 PROGRAM_B 脉 冲 不 为 低 时 应 拉 高 CFGBVS 必 须 适 当 绑 定, 以 支 持 1.8V 或 3.3V 范 围, 满 足 用 户 设 计 需 求 PUDC_B 明 确 加 电 后 和 配 置 期 间 是 否 在 SelectIO 引 脚 上 启 用 内 部 上 拉 电 阻 如 启 用, 配 置 过 程 中 未 使 用 的 引 脚 具 有 上 拉 电 阻 配 置 完 成 后 PUDC_B 无 效 FPGA 系 列 配 置 用 户 指 南 提 供 了 更 多 引 脚 描 述 细 节, 并 包 含 适 当 的 上 拉 电 阻 值 状 态 寄 存 器 如 配 置 未 正 确 完 成, 状 态 寄 存 器 会 提 供 有 关 哪 些 错 误 可 能 导 致 这 样 的 故 障 的 重 要 信 息 如 需 了 解 更 多 信 息, 敬 请 参 见 : FPGA 系 列 配 置 用 户 指 南 赛 灵 思 配 置 解 决 方 案 中 心 /support/answers/34909.htm 赛 灵 思 FPGA 器 件 的 FPGA 状 态 寄 存 器 数 据 可 由 Vivado 设 计 套 件 器 件 编 程 器 通 过 JTAG 读 取 如 发 生 配 置 故 障, 该 寄 存 器 会 捕 获 具 体 错 误 条 件, 帮 助 查 明 故 障 原 因 此 外, 该 状 态 寄 存 器 还 便 于 用 户 验 证 模 式 引 脚 设 置 M[2:0] 和 总 线 宽 度 检 测 结 果 有 关 该 状 态 寄 存 器 的 详 细 介 绍, 请 参 阅 7 系 列 FPGA 配 置 用 户 指 南 (UG470)[ 参 考 资 料 44] 和 UltraScale 架 构 配 置 高 级 规 范 用 户 指 南 (UG570)[ 参 考 资 料 50] 验 证 和 回 读 如 配 置 不 成 功,FPGA 器 件 上 的 JTAG 回 读 / 验 证 操 作 可 明 确 所 需 的 配 置 数 据 是 否 正 确 载 入 器 件 如 有 偏 差, 可 调 查 这 一 偏 差 情 况 为 了 让 Vivado 设 计 套 件 器 件 编 程 器 执 行 JTAG 核 实 操 作, 需 要 掩 膜 文 件 (.msk) 该 文 件 可 在 比 特 流 生 成 的 过 程 中 进 行 建 参 见 第 296 页 的 一 般 比 特 流 属 性 Send Feedback 301

302 第 6 章 : 配 置 与 调 试 配 置 顺 序 配 置 期 间, 一 些 基 本 的 检 查 有 助 于 将 问 题 隔 离 出 来 赛 灵 思 FPGA 比 特 流 有 一 个 唯 一 的 报 头, 报 头 包 含 同 步 字, 也 可 能 包 含 自 动 检 测 配 置 时 钟 类 型 和 速 率 设 置 等 对 大 多 数 FPGA 器 件 来 说, 同 步 字 为 AA 同 步 字 是 重 要 的 调 试 参 数 FPGA 配 置 状 态 机 只 有 在 FPGA 引 脚 上 的 同 步 字 确 认 后 才 开 始 启 动 如 配 置 未 启 动, 您 可 观 察 配 置 数 据 引 脚, 确 保 同 步 字 正 确 接 收 此 外, 如 果 比 特 流 报 头 设 置 正 确, 那 么 配 置 或 EMCCLK 选 项 设 置 造 成 的 任 何 配 置 时 钟 提 升 都 应 被 看 到, 否 则 报 头 不 会 被 识 别 参 见 第 296 页 的 一 般 比 特 流 属 性 配 置 启 动 根 据 常 见 配 置 顺 序 给 FPGA 器 件 加 电 FPGA 器 件 配 置 用 户 指 南 对 此 有 详 细 介 绍 特 殊 选 项 会 要 求 修 改 默 认 序 列 例 如, 如 果 用 户 设 计 采 用 PLL, 那 么 可 能 需 要 采 用 以 下 选 项, 这 样 DONE 信 号 才 不 会 在 到 达 适 当 步 骤 之 前 变 为 高 电 平 : 等 待 PLL MMCM 锁 定 DCI 匹 配 如 果 使 用 以 上 任 何 选 项, 请 确 保 配 置 源 的 文 件 为 多 重 启 动 文 件 提 供 适 当 的 间 隔 如 需 了 解 有 关 多 重 启 动 文 件 处 理 的 更 多 信 息, 敬 请 参 见 FPGA 系 列 用 户 指 南 此 外, 使 用 从 串 模 式 或 主 串 模 式 EMCCLK 选 项 时, 请 确 保 提 供 足 够 的 时 钟 周 期, 从 而 完 成 启 动 顺 序 启 动 时 钟 (JTAGCLK CCLK EMCCLK) 在 启 动 过 程 中 未 达 到 启 动 顺 序 末 端, 会 出 现 以 下 症 状, 可 能 说 明 启 动 不 正 确 或 未 完 成 : I/O 保 持 为 三 态 双 模 引 脚 工 作 在 LVCMOS 中 而 不 是 指 定 的 I/O 标 准 ICAP 接 口 不 能 从 FPGA 器 件 架 构 访 问, 因 为 配 置 逻 辑 被 锁 定 启 动 成 功 完 成 的 表 现 为 EOS 信 号 驱 动 变 为 高 电 平 用 STARTUP 原 语 可 在 状 态 寄 器 中 观 察 或 在 FPGA 器 件 架 构 中 检 测 到 这 一 变 化 Send Feedback 302

303 第 6 章 : 配 置 与 调 试 对 访 问 ICAP 的 设 计 而 言, 赛 灵 思 建 议 您 实 例 化 STARTUP 原 语, 该 原 语 有 一 个 EOS 引 脚, 表 明 : (1) 配 置 程 序 何 时 完 成 ; 以 及 (2) ICAP 可 用 于 读 写 访 问 远 程 更 新 赛 灵 思 FPGA 器 件 支 持 多 重 启 动 和 回 读 特 性, 使 现 场 系 统 更 新 更 加 稳 健 可 靠 比 特 流 文 件 可 进 行 现 场 动 态 更 新 多 重 启 动 和 回 读 特 性 可 与 所 有 主 配 置 模 式 配 合 使 用 多 重 启 动 特 性 支 持 用 户 应 用 切 换 文 件 如 果 在 多 重 启 动 配 置 进 程 中 检 测 到 错 误, 那 么 器 件 可 触 发 回 读 机 制, 从 不 同 的 闪 存 地 址 检 索 已 知 的 比 特 流 稳 健 在 线 升 级 解 决 方 案 的 实 施 涉 及 一 系 列 有 关 初 始 配 置 方 法 升 级 方 法 和 回 读 机 制 的 决 策 多 重 启 动 解 决 方 案 需 要 闪 存 足 够 大, 可 容 纳 下 所 需 的 所 有 比 特 流 由 于 压 缩 结 果 各 不 相 同, 因 此 赛 灵 思 建 议 在 规 划 闪 存 存 储 器 映 射 时 考 虑 最 大 未 经 压 缩 的 比 特 流 不 过, 在 闪 存 中 实 际 存 储 多 个 文 件 时 则 可 采 用 压 缩 在 以 下 几 种 特 殊 情 况 下, 回 读 需 要 使 用 高 级 选 项 在 7 系 列 FPGA 中, 主 SPI 配 置 模 式 回 读 为 x1 模 式 在 7 系 列 FPGA 中,BPI 配 置 模 式 同 步 读 取 回 读 为 异 步 读 取 模 式 这 意 味 着 如 采 用 回 读, 那 么 同 步 读 取 的 更 高 时 钟 速 度 可 能 会 读 取 失 败 所 用 的 时 钟 频 率 必 须 可 同 时 支 持 这 两 种 模 式 赛 灵 思 7 系 列 器 件 的 SSI 配 置 配 置 SSI 器 件 与 配 置 各 种 传 统 器 件 类 似 利 用 工 具 创 建 单 一 比 特 流 支 持 所 有 配 置 特 性 ( 如 加 密 和 SEU) 和 配 置 模 式 配 置 详 情 多 SLR 配 置 可 完 全 通 过 配 置 电 路 系 统 和 赛 灵 思 工 具 进 行 处 理 每 个 SLR 都 有 自 己 的 配 置 引 擎, 其 实 际 上 与 传 统 器 件 相 同 主 SLR 包 含 主 配 置 引 擎 所 有 其 它 SLR 组 件 的 配 置 引 擎 作 为 从 设 备 对 待 Vivado 工 具 可 创 建 单 一 比 特 流 比 特 流 载 入 时 可 以 按 照 顺 序 配 置 各 个 SLR 组 件, 将 比 特 流 的 正 确 部 分 提 供 给 适 当 的 SLR Send Feedback 303

304 第 6 章 : 配 置 与 调 试 信 号 绑 定 以 下 信 号 在 插 入 器 中 绑 定 : INIT DONE KEYCLEAR 这 使 得 清 空 配 置 密 钥 等 功 能 可 在 所 有 SLR 组 件 上 同 时 快 速 一 致 工 作 配 置 反 馈 信 号 配 置 完 成 或 配 置 错 误 行 为 与 传 统 器 件 一 样 比 特 流 解 密 对 比 特 流 加 密 等 操 作 而 言, 所 有 SLR 组 件 均 采 用 统 一 密 钥 用 统 一 密 钥 可 简 化 密 钥 以 及 配 置 数 据 的 管 理, 使 SSI 器 件 看 上 去 与 所 有 其 它 赛 灵 思 FPGA 器 件 类 似, 与 其 工 作 方 式 也 类 似 比 特 流 解 密 在 SLR 中 进 行 数 据 在 插 入 器 的 SLR 之 间 进 行 传 输, 其 间 这 些 数 据 仍 然 是 加 密 的, 进 一 步 防 止 配 置 数 据 被 破 坏 或 被 截 获 每 个 SLR 不 同 的 操 作 虽 然 大 多 数 操 作 行 为 跟 传 统 器 件 一 样, 不 过 以 下 是 每 个 SLR 不 同 的 操 作 : 捕 获 回 读 FRAME_ECC 这 有 助 于 缩 短 收 集 数 据 的 时 间, 此 外 对 ECC 来 说, 也 能 纠 正 任 何 损 坏 位 仅 存 在 于 主 SLR 中 的 组 件 一 些 配 置 和 器 件 访 问 组 件 仅 存 在 于 主 SLR 中 以 下 组 件 等 只 能 在 主 SLR 中 访 问 : 用 于 Device DNA 的 DNA_PORT ; 存 储 32 位 用 户 定 义 代 码 的 EFUSE_USR XADC 虽 然 边 界 扫 描 (Boundary Scan) 在 于 所 有 SLR 组 件 中, 但 偏 好 使 用 主 SLR 去 完 成 边 界 扫 描 Send Feedback 304

305 第 6 章 : 配 置 与 调 试 调 试 在 线 调 试 允 许 您 实 时 调 试 目 标 器 件 设 计 如 果 遇 到 很 难 复 制 到 仿 真 器 上 的 情 况, 这 个 步 骤 就 是 必 要 的 就 调 试 而 言, 需 要 为 设 计 提 供 专 门 的 调 试 硬 件, 以 便 观 察 和 控 制 设 计 调 试 完 成 后, 可 以 移 除 仪 器 或 专 用 硬 件, 从 而 提 升 性 能, 减 少 逻 辑 FPGA 设 计 的 调 试 是 一 个 多 步 骤 反 复 循 环 过 程 和 大 多 数 复 杂 问 题 的 处 理 方 式 一 样, 最 好 把 FPGA 调 试 流 程 分 成 多 个 较 小 的 步 骤, 集 中 精 力 逐 一 处 理, 而 不 是 试 图 让 整 个 设 计 一 次 性 投 入 工 作 虽 然 实 际 调 试 步 骤 在 设 计 成 功 实 现 之 后 进 行, 但 赛 灵 思 建 议 在 设 计 周 期 中 尽 早 规 划 调 试 的 方 案 和 位 置 用 户 可 从 Vivado IDE 的 Flow Navigator 窗 口 的 编 程 与 调 试 菜 单 运 行 FPGA 器 件 编 程 和 设 计 系 统 内 调 试 的 一 切 必 要 命 令 调 试 涉 及 的 步 骤 包 括 : 1. 探 针 探 测 : 确 定 设 计 中 需 要 探 针 探 测 的 信 号 和 探 针 探 测 的 方 法 2. 实 现 : 实 现 的 设 计 包 含 连 接 在 探 针 网 络 上 的 额 外 调 试 IP 3. 分 析 : 与 设 计 中 包 含 的 调 试 IP 交 互, 调 试 和 验 证 功 能 问 题 4. 修 正 : 修 正 任 何 缺 陷 并 根 据 需 要 反 复 进 行 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG 908)[ 参 考 资 料 20] 设 计 探 测 Vivado 工 具 提 供 多 种 在 设 计 中 添 加 调 试 探 头 的 方 法 下 表 逐 一 列 明 这 些 方 法 并 介 绍 每 种 方 法 各 自 的 优 劣 Send Feedback 305

306 第 6 章 : 配 置 与 调 试 调 试 流 程 名 称 流 程 步 骤 优 劣 HDL 实 例 化 探 针 探 测 点 流 程 网 表 插 入 探 针 流 程 ( 建 议 方 法 ) 这 种 方 法 只 对 ILA 2.1 或 更 高 版 本 有 效 基 于 Tcl 的 网 表 插 入 探 针 流 程 在 HDL 源 中 明 示 连 接 信 号 到 ILA 调 试 内 核 实 例 使 用 以 下 两 种 方 法 之 一 明 确 调 试 信 号 : 用 mark_debug 属 性 在 RTL 源 代 码 中 标 记 调 试 信 号 用 Mark Debug 右 键 菜 单 选 项 在 同 步 设 计 网 表 中 选 择 调 试 网 络 一 旦 信 号 做 上 调 试 标 记, 则 用 Set up Debug wizard 来 指 导 分 步 完 成 网 表 插 入 探 针 流 程 用 set_property Tcl 命 令 在 调 试 网 络 上 设 置 mark_debug 属 性 然 后 用 网 表 插 入 探 针 Tcl 命 令 创 建 调 试 内 核 并 连 接 其 到 调 试 网 络 必 须 手 动 在 设 计 中 添 加 / 移 除 调 试 网 络 和 IP, 即 必 须 修 改 HDL 源 文 件 这 种 方 法 具 备 在 HDL 设 计 层 面 探 针 探 测 的 能 力 在 生 成 实 例 化 和 连 接 调 试 内 核 时 容 易 出 错 这 种 方 法 灵 活 性 最 高, 有 良 好 的 预 测 能 力 这 种 方 法 便 于 在 各 个 不 同 设 计 层 探 测 ( 如 HDL 综 合 设 计 系 统 设 计 ) 这 种 方 法 无 需 修 改 HDL 源 文 件 这 种 方 法 能 全 自 动 插 入 网 表 可 通 过 调 整 Tcl 命 令 开 启 / 禁 用 调 试 功 能 这 种 方 法 无 需 修 改 HDL 源 文 件 两 步 调 试 插 入 法 在 对 象 网 表 上 使 用 MARK_DEBUG 和 在 设 计 中 插 入 调 试 内 核 可 分 为 两 个 步 骤 : 1. 确 定 需 要 调 试 的 网 表, 方 法 包 括 : 右 键 点 击 网 表, 设 置 MARK_DEBUG 属 性 ; 或 使 用 属 性 窗 口 和 / 或 Tcl 命 令 设 置 该 属 性 2. 在 综 合 完 成 后 使 用 设 置 调 试 向 导 使 用 向 导 的 方 式 包 括 从 Flow Navigator 的 综 合 标 签 打 开, 或 正 在 设 计 时, 选 择 Tools >Set up Debug 菜 单 项 Send Feedback 306

307 第 6 章 : 配 置 与 调 试 选 择 调 试 网 络 赛 灵 思 对 选 择 调 试 网 络 有 如 下 建 议 : 把 探 针 探 测 网 络 布 置 在 特 定 层 级 的 边 界 上 ( 输 入 或 输 出 ) 这 种 方 法 有 助 于 迅 速 隔 离 问 题 区 域 这 样 便 于 用 户 在 需 要 的 时 候 深 入 层 级 探 针 探 测 勿 用 探 针 探 测 组 合 逻 辑 路 径 之 间 的 网 络 如 果 在 组 合 逻 辑 路 径 中 间 的 网 络 上 添 加 MARK_ DEBUG, 就 无 法 在 流 程 的 实 现 阶 段 应 用 可 适 用 的 优 化 功 能, 导 致 出 现 不 理 想 的 QOR 结 果 为 了 获 得 周 期 精 确 数 据 采 集, 探 针 网 络 可 综 合 使 用 MARK_DEBUG 保 留 调 试 探 针 探 测 网 络 名 称 用 户 可 以 在 RTL 阶 段 或 综 合 后 阶 段 把 信 号 做 上 调 试 标 记 MARK_DEBUG 标 记 在 网 络 上 可 避 免 网 络 被 复 制 重 新 定 时 重 命 名 移 除 或 以 其 它 方 式 进 行 优 化 ( 否 则 可 能 优 化 的 网 络 并 非 本 想 优 化 的 网 络 ) 不 过 在 层 级 或 接 口 的 输 入 输 出 网 络 或 端 口 上 标 记 MARK_DEBUG 可 能 还 不 够, 因 为 综 合 工 具 可 能 会 重 命 名 这 个 接 口 网 络 或 端 口 为 避 免 发 生 这 种 问 题, 应 在 层 级 上 使 用 DON T_TOUCH, 同 时 在 网 络 和 端 口 上 使 用 MARK_DEBUG 注 意 : 如 果 原 始 网 络 被 优 化 掉, 可 以 看 到 约 束 文 件 报 错, 称 网 络 已 不 再 可 用 做 有 调 试 标 记 的 网 络 显 示 在 调 试 窗 口 的 未 分 配 调 试 网 络 文 件 夹 下 完 成 综 合 后, 可 以 用 下 列 任 何 方 式 添 加 用 于 调 试 的 网 络 : 在 任 何 设 计 视 图 中 选 择 一 个 网 络 ( 比 如 网 表 或 原 理 图 窗 口 ), 然 后 右 键 点 击, 选 择 Mark Debug 在 任 何 设 计 视 图 中 选 择 一 个 网 络, 然 后 拖 放 该 网 络 到 未 分 配 调 试 网 络 文 件 夹 在 设 置 调 试 向 导 中 使 用 网 络 选 择 器 使 用 属 性 窗 口 或 Tcl 设 置 Mark_DEBUG 属 性 使 用 ILA 内 核 使 用 集 成 逻 辑 分 析 器 (ILA) 内 核 可 以 在 FPGA 器 件 上 进 行 实 现 后 设 计 的 在 线 调 试 如 果 需 要 监 测 设 计 中 的 信 号, 就 可 以 使 用 这 个 内 核 还 可 以 使 用 这 个 功 能 触 发 硬 件 事 件 并 以 系 统 级 速 度 捕 获 数 据 赛 灵 思 建 议 在 综 后 插 入 ILA 内 核, 这 样 就 不 必 修 改 HDL 源 文 件, 也 无 需 重 新 验 证 设 计 Send Feedback 307

308 第 6 章 : 配 置 与 调 试 向 调 试 内 核 添 加 网 络, 请 打 开 综 合 设 计 并 从 Flow Navigator 窗 口 中 选 择 Set up Debug, 或 选 择 Tools > Set up Debug 菜 单 项 如 需 了 解 更 多 信 息, 敬 请 参 阅 Vivado 设 计 套 件 用 户 指 南 : 编 程 与 调 试 (UG 908)[ 参 考 资 料 20] ILA 内 核 和 时 序 考 虑 事 项 ILA 内 核 的 配 置 对 满 足 整 体 设 计 时 序 目 标 有 影 响 请 根 据 下 列 建 议 尽 量 减 少 对 时 序 的 影 响 : 审 慎 选 择 探 头 宽 度 探 头 宽 度 越 大, 对 资 源 利 用 和 时 序 的 影 响 越 大 审 慎 选 择 ILA 内 核 数 据 深 度 数 据 深 度 越 大, 对 Block RAM 资 源 利 用 和 时 序 的 影 响 越 大 确 保 为 ILA 内 核 选 择 的 时 钟 都 是 自 由 运 行 时 钟 如 果 无 法 满 足, 可 能 造 成 当 设 计 加 载 到 器 件 上 以 后 无 法 与 调 试 内 核 通 信 确 保 提 供 给 dbg_hub 的 时 钟 是 自 由 运 行 时 钟 如 果 无 法 满 足, 可 能 造 成 当 设 计 加 载 到 器 件 上 以 后 无 法 与 调 试 内 核 通 信 可 以 使 用 connect_debug_port Tcl 命 令 把 调 试 集 线 器 的 clk 引 脚 连 接 到 自 由 运 行 时 钟 上 在 添 加 调 试 内 核 之 前 收 敛 设 计 上 的 时 序 赛 灵 思 不 建 议 使 用 调 试 内 核 调 试 相 关 时 序 问 题 如 果 仍 然 观 察 到 因 添 加 ILA 调 试 内 核 造 成 时 序 劣 化 以 及 关 键 路 径 位 于 dbg_hub 中 时, 执 行 下 列 步 骤 : a. 打 开 综 合 设 计 ; b. 找 到 网 表 中 的 dbg_hub 单 元 ; c. 转 到 dbg_hub 的 属 性 ; d. 找 到 属 性 C_CLK_INPUT_FREQ_HZ ; e. 将 其 设 置 为 连 接 到 dbg_hub 的 时 钟 的 频 率 ( 单 位 :Hz); f. 找 到 C_ENABLE_CLK_DIVIDER 属 性 并 启 用 该 属 性 ; g. 重 新 实 现 设 计 确 保 输 入 到 ILA 内 核 的 时 钟 与 正 在 探 针 探 测 的 信 号 同 步 如 不 能 满 足, 在 设 计 编 程 到 器 件 中 时 会 产 生 时 序 问 题 和 通 信 失 败 确 保 在 硬 件 上 运 行 设 计 之 前 满 足 时 序 要 求 如 不 能 满 足, 会 造 成 不 可 靠 的 结 果 Send Feedback 308

309 第 6 章 : 配 置 与 调 试 在 硬 件 中 调 试 调 试 内 核 实 现 在 设 计 中 后, 可 使 用 运 行 时 间 逻 辑 分 析 器 功 能 在 硬 件 中 调 试 设 计 要 使 用 Vivado 设 计 套 件 的 逻 辑 分 析 器 与 实 例 化 在 设 计 中 的 ILA 调 试 内 核 交 互, 请 选 择 :Flow Navigator > Program and Debug > Open Hardware Session 在 本 步 骤 中, 应 完 成 下 列 工 作 : 与 目 标 硬 件 连 接 把 比 特 流 编 程 到 器 件 中 设 置 ILA 调 试 内 核 触 发 器 和 探 测 条 件 加 载 ILA 调 试 内 核 触 发 器 在 波 形 窗 口 中 分 析 从 ILA 调 试 内 核 采 集 的 数 据 ILA 触 发 器 模 式 设 置 触 发 器 模 式 控 制 着 实 时 硬 件 事 件 的 检 测, 体 现 为 采 集 窗 口 中 的 触 发 器 标 识 BASIC_ONLY : 当 调 试 探 头 比 较 结 果 的 基 本 AND/OR 功 能 得 到 满 足 时, 使 用 ILA 基 本 触 发 器 模 式 触 发 ILA 内 核 ; ADVANCED_ONLY : 使 用 ILA 先 进 触 发 器 模 式 触 发 设 定 为 用 户 定 义 状 态 机 的 ILA 内 核 ; TRIG_IN_ONLY : 当 ILA 内 核 的 TRIG_IN 从 低 电 平 变 为 高 电 平, 使 用 ILA TRIG_IN 触 发 器 模 式 触 发 ILA 内 核 BASIC_OR_TRIG : 如 果 需 要 ILA 内 核 的 TRIG_IN 引 脚 和 BASIC_ONLY 触 发 器 模 式 处 于 逻 辑 OR 状 态, 使 用 ILA BASIC_OR_TRIG_IN 触 发 器 模 式 触 发 ILA 内 核 ADVANCED_OR_TRIG_IN : 如 果 需 要 ILA 内 核 的 TRIG_IN 引 脚 和 ADVANCED_ONLY 触 发 模 式 处 于 OR 状 态, 使 用 ILA ADVANCED_OR_TRIG_IN 触 发 模 式 触 发 ILA 内 核 可 把 触 发 器 位 置 设 定 为 数 据 采 集 缓 存 中 的 特 定 位 置 例 如 对 深 度 为 1024 的 数 据 采 集 缓 存 : 采 样 号 0 对 应 数 据 采 集 缓 存 中 第 一 个 ( 最 左 边 ) 的 采 样 ; 采 样 号 1023 对 应 数 据 采 集 缓 存 中 最 后 一 个 ( 最 右 边 ) 的 采 样 ; 采 样 号 511 和 512 对 应 数 据 采 集 缓 存 中 中 间 两 个 采 样 Send Feedback 309

310 第 6 章 : 配 置 与 调 试 ILA 内 核 采 集 模 式 采 集 模 式 负 责 控 制 ILA 内 核 采 集 数 据 的 方 式 ALWAYS : 在 每 一 个 时 钟 周 期 上 采 集 探 测 数 据 BASIC : 在 调 试 探 头 比 较 结 果 的 基 本 AND/OR 功 能 得 到 满 足 时 采 集 探 测 数 据 共 享 用 ILA 内 核 采 集 的 数 据 的 波 形 用 户 可 使 用 write_hw_ila_data Tcl 命 令 把 ILA 采 集 的 数 据 保 存 到 一 个 存 档 文 件 中 如 果 需 要 从 这 个 存 档 文 件 中 恢 复 采 集 的 数 据 并 显 示 在 波 形 观 察 器 中, 应 使 用 Tcl 命 令 display_hw_ila_data 使 用 这 两 个 命 令 就 可 以 查 看 ILA 内 核 采 集 的 数 据 的 波 形 在 运 行 上 述 命 令 之 前, 应 先 运 行 open_ hw 命 令 ILA 数 据 波 形 窗 口 的 波 形 配 置 设 置 ( 分 频 器 标 识 色 彩 探 头 基 数 等 ) 也 保 存 在 ILA 采 集 数 存 档 文 件 中 可 以 用 这 些 存 储 的 波 形 配 置 设 计 恢 复 和 显 示 任 何 之 前 保 存 的 ILA 数 据 Send Feedback 310

311 第 6 章 : 配 置 与 调 试 多 次 采 集 窗 口 可 以 通 过 多 次 采 集 功 能 采 集 多 次 触 发 得 到 的 信 号 使 用 这 项 功 能 应 首 先 在 运 行 时 间 选 择 采 集 窗 口 的 量, 如 图 6-2 所 示, 然 后 和 正 常 一 样 进 行 触 发 图 6-2 : 针 对 多 次 采 集 窗 口 设 置 ILA Send Feedback 311

312 第 6 章 : 配 置 与 调 试 图 6-3 为 多 次 采 集 窗 口 示 例, 在 信 号 fast_cnt_reset_1 的 每 次 下 降 沿 触 发 观 察 带 有 触 发 器 标 识 的 多 个 窗 口 和 交 变 的 checkerboard 窗 口 背 景 图 6-3 : 多 次 采 集 窗 口 示 例 虚 拟 I/O(VIO) 虚 拟 输 入 / 输 出 (VIO) 内 核 是 一 种 可 定 制 内 核, 能 够 实 时 监 测 和 驱 动 FPGA 信 号 在 无 法 物 理 访 问 目 标 硬 件 的 时 候, 可 以 使 用 这 个 IP 驱 动 和 监 测 出 现 在 真 实 硬 件 上 的 信 号 有 两 种 不 同 的 输 入 内 核 和 两 种 不 同 的 输 出 内 核, 大 小 均 可 定 制, 以 便 与 设 计 接 口 如 果 需 要 VIO 内 核, 可 从 IP Catalog 中 选 择, 然 后 实 例 化 在 设 计 中 VIO 内 核 输 出 探 头 用 于 把 值 写 入 运 行 在 FPGA 器 件 上 的 设 计 VIO 输 出 探 头 一 般 用 作 受 测 设 计 的 小 带 宽 控 制 信 号 与 此 类 似,VIO 输 出 引 脚 用 于 从 运 行 在 FPGA 器 件 上 的 设 计 读 取 值 VIO 内 核 可 完 成 下 列 工 作 : 通 过 输 入 端 口 提 供 虚 拟 LED 及 其 它 状 态 指 示 器 ; 在 输 入 端 口 提 供 可 选 活 动 检 测 器, 用 于 检 测 采 样 之 间 的 上 升 和 下 降 转 换 ; 通 过 输 出 端 口 提 供 虚 拟 按 钮 及 其 它 控 制 ; 提 供 定 制 输 出 初 始 化 功 能, 便 在 器 件 配 置 和 驱 动 完 成 后 立 即 设 定 VIO 内 核 输 出 值 ; 运 行 VIO 内 核 的 时 间 重 置 功 能, 恢 复 其 初 始 值 Send Feedback 312

I

I 机 电 一 级 注 册 建 造 师 继 续 教 育 培 训 广 东 培 训 点 网 上 报 名 操 作 使 用 手 册 (2013 年 1 月, 第 一 版 ) 第 一 章 个 人 注 册 与 个 人 信 息 管 理 1. 个 人 注 册 ( 请 每 人 只 申 请 一 个 注 册 号, 如 果 单 位 批 量 报 班 单 位 帮 申 请 注 册, 不 需 个 人 再 注 册 ) 首 次 报 班,

More information

《C语言基础入门》课程教学大纲

《C语言基础入门》课程教学大纲 C 语 言 开 发 入 门 教 程 课 程 教 学 大 纲 课 程 编 号 :201409210011 学 分 :5 学 分 学 时 :58 学 时 ( 其 中 : 讲 课 学 时 :39 学 时 上 机 学 时 :19 学 时 ) 先 修 课 程 : 计 算 机 导 论 后 续 课 程 :C++ 程 序 设 计 适 用 专 业 : 信 息 及 其 计 算 机 相 关 专 业 开 课 部 门 : 计

More information

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基 内 部 资 料 东 北 师 范 大 教 运 行 基 本 状 态 据 报 告 2015 年 春 季 期 教 务 处 2015 年 10 月 27 日 说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度,

More information

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63>

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63> ( 一 ) 系 统 整 体 操 作 流 程 简 述 3 ( 二 ) 系 统 中 各 角 色 操 作 功 能 说 明 5 1. 学 院 管 理 员 5 2. 教 学 院 长 8 3. 指 导 教 师 10 4. 答 辩 组 组 长 12 5. 学 生 12 6. 系 统 管 理 员 15 ( 一 ) 论 文 系 统 常 见 问 题 16 ( 二 ) 论 文 查 重 常 见 问 题 22 1 2 主

More information

修改版-操作手册.doc

修改版-操作手册.doc 职 称 信 息 系 统 升 级 指 南 须 使 用 IE9 及 其 以 上 版 本 浏 览 器 或 谷 歌 浏 览 器 登 录 www.njrs.gov.cn 南 京 市 职 称 ( 职 业 资 格 ) 工 作 领 导 小 组 办 公 室 2016 年 5 月 目 录 一 申 报 人 员 操 作 指 南...1 1.1 职 称 初 定 申 报...1 1.1.1 职 称 初 定 基 础 信 息 填

More information

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量 0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 3 无 轻 伤 责 任 事 故 目 标 30 及 事 无 重 大 质 量 工 作 过 失 故 管 无 其 他 一 般 责 任 事 故 理 在 公 司 文 明 环 境 创 建 中, 无 工 作 过 失 及 被 追 究 的

More information

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知 第 卷 第 期 年 月 开 放 教 育 研 究 何 秋 琳 张 立 春 华 南 师 范 大 学 未 来 教 育 研 究 中 心 广 东 广 州 随 着 图 像 化 技 术 和 电 子 媒 体 的 发 展 视 觉 学 习 也 逐 步 发 展 为 学 习 科 学 的 一 个 研 究 分 支 得 到 研 究 人 员 和 教 育 工 作 者 的 广 泛 关 注 基 于 此 作 者 试 图 对 视 觉 学 习

More information

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学 1 安 排 组 织 全 国 网 络 统 考 九 月 批 次 网 上 考 前 辅 导 第 一 学 期 第 一 周 统 考 考 前 半 个 月 2 下 发 全 国 网 络 统 考 九 月 批 次 准 考 证 第 一 学 期 第 一 周 导 出 下 半 年 成 人 本 科 学 士 学 位 英 语 统 一 考 试 报 考 3 信 息 第 一 学 期 第 一 周 4 教 学 计 划 和 考 试 计 划 上 网,

More information

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 李 炎 斌 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

深圳市新亚电子制程股份有限公司

深圳市新亚电子制程股份有限公司 证 券 代 码 :002388 证 券 简 称 : 新 亚 制 程 公 告 编 号 :2016-053 深 圳 市 新 亚 电 子 制 程 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏 特

More information

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决 上 海 市 公 共 卫 生 硕 士 专 业 学 位 论 文 基 本 要 求 和 评 价 指 标 体 系 ( 试 行 ) 上 海 市 学 位 委 员 会 办 公 室 二 O 一 二 年 三 月 一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创

More information

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和 语 音 语 篇 语 感 语 域 林 大 津 毛 浩 然 改 革 开 放 以 来 的 英 语 热 引 发 了 大 中 小 学 英 语 教 育 整 体 规 划 问 题 在 充 分 考 虑 地 区 学 校 和 个 体 差 异 以 及 各 家 观 点 的 基 础 上 遵 循 实 事 求 是 逐 级 定 位 逐 层 分 流 因 材 施 教 的 原 则 本 研 究 所 倡 导 的 语 音 语 篇 语 感 语 域

More information

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用 Visual Basic 程 序 设 计 A 级 分 级 班 教 学 大 纲 ( 供 计 算 机 与 信 息 技 术 基 础 课 程 分 级 教 学 A 级 班 使 用 ) I 前 言 Visual Basic 程 序 设 计 课 程 是 一 门 计 算 机 语 言 基 础 课 程 通 过 对 该 课 程 的 学 习, 使 学 生 初 步 掌 握 Visual Basic 的 语 言 特 点, 掌

More information

文 化 记 忆 传 统 创 新 与 节 日 遗 产 保 护 根 据 德 国 学 者 阿 斯 曼 的 文 化 记 忆 理 论 仪 式 与 文 本 是 承 载 文 化 记 忆 的 两 大 媒 体 在 各 种 仪 式 行 为 中 节 日 以 其 高 度 的 公 共 性 有 组 织 性 和 历 史 性 而 特 别 适 用 于 文 化 记 忆 的 储 存 和 交 流 节 日 的 文 化 功 能 不 仅 在 于

More information

 编号:

 编号: 编 号 : 企 业 内 高 技 能 人 才 培 养 评 价 实 施 方 案 ( 仅 适 用 于 企 业 特 有 行 业 特 有 工 种 ) 实 施 单 位 ( 公 章 ) 申 报 日 期 年 _ 月 日 1 企 业 内 高 技 能 人 才 培 养 评 价 项 目 实 施 方 案 申 报 表 项 目 名 称 等 级 项 目 性 质 课 时 申 报 单 位 联 系 人 通 讯 地 址 电 话 手 机 电

More information

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管 宝 汇 德 Turbocare 微 服 务 系 统 客 户 操 作 手 册 Version 2.0 北 京 宝 汇 德 技 术 服 务 器 有 限 公 司 技 术 研 发 部 目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理... 10 巡

More information

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 徐 岩 宇 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

Microsoft Word - 第7章 图表反转形态.doc

Microsoft Word - 第7章 图表反转形态.doc 第 七 章 图 表 反 转 形 态 我 们 知 道 市 场 趋 势 共 有 三 种 : 上 升 趋 势 下 降 趋 势 和 横 向 整 理 市 场 的 价 格 波 动 都 是 运 行 在 这 三 种 趋 势 中, 所 有 的 走 势 都 是 这 三 种 趋 势 的 排 列 组 合 如 图 市 场 趋 势 结 构 示 意 图 7-1 所 示 市 场 趋 势 结 构 示 意 图 7-1 图 市 场 趋

More information

教师上报成绩流程图

教师上报成绩流程图 教 务 管 理 系 统 使 用 说 明 学 生 端 用 户 1 在 校 内 任 何 一 台 连 接 校 园 网 的 计 算 机 上 登 录 教 务 处 主 页 教 务 处 主 页 地 址 : http://jw.stdu.edu.cn/homepage 随 后 点 击 按 钮 ( 见 下 图 所 示 ), 即 可 进 入 综 合 教 务 管 理 系 统 2 在 综 合 教 务 管 理 区 域 内 键

More information

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6>

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6> 中 国 应 对 气 候 变 化 的 政 策 与 行 动 2013 年 度 报 告 国 家 发 展 和 改 革 委 员 会 二 〇 一 三 年 十 一 月 100% 再 生 纸 资 源 目 录 前 言... 1 一 应 对 气 候 变 化 面 临 的 形 势... 3 二 完 善 顶 层 设 计 和 体 制 机 制... 4 三 减 缓 气 候 变 化... 8 四 适 应 气 候 变 化... 20

More information

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :,

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :, : 周 晓 虹 : - -., - - - -. :( ), -,.( ),,, -. - ( ).( ) ', -,,,,, ( ).( ),,, -., '.,, :,,,, :,,,, ,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,,

More information

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语 新 汉 语 水 平 考 试 HSK 为 使 汉 语 水 平 考 试 (HSK) 更 好 地 服 务 于 汉 语 学 习 者, 中 国 国 家 汉 办 组 织 中 外 汉 语 教 学 语 言 学 心 理 学 和 教 育 测 量 学 等 领 域 的 专 家, 在 充 分 调 查 了 解 海 外 实 际 汉 语 教 学 情 况 的 基 础 上, 吸 收 原 有 HSK 的 优 点, 借 鉴 近 年 来 国

More information

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2 简 略 版 本 :2015 3 10 2016 2021 全 球 卫 生 部 门 病 毒 性 肝 炎 战 略 2016 2021 2015 3 12 2012 2010 2014 2015 2016 2021 140 55% 35% 5 15% 5 20% 2.4 1.3 1.5 1 1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路

More information

目 录 一 系 统 访 问... 1 二 门 户 首 页 申 报 用 户 审 核 用 户... 2 三 系 统 登 录 用 户 名 密 码 登 录 新 用 户 注 册 用 户 登 录 已 注 册 用

目 录 一 系 统 访 问... 1 二 门 户 首 页 申 报 用 户 审 核 用 户... 2 三 系 统 登 录 用 户 名 密 码 登 录 新 用 户 注 册 用 户 登 录 已 注 册 用 水 路 运 输 建 设 综 合 管 理 信 息 系 统 - 门 户 系 统 用 户 手 册 二 零 一 五 年 十 一 月 目 录 一 系 统 访 问... 1 二 门 户 首 页... 1 1. 申 报 用 户... 1 2. 审 核 用 户... 2 三 系 统 登 录... 4 1. 用 户 名 密 码 登 录... 4 1.1 新 用 户 注 册... 4 1.2 用 户 登 录... 7

More information

Microsoft Word - 第3章.doc

Microsoft Word - 第3章.doc 52 5 天 通 过 职 称 计 算 机 考 试 ( 考 点 视 频 串 讲 + 全 真 模 拟 ) Word 2003 中 文 字 处 理 ( 第 2 版 ) 第 3 章 3 字 符 格 式 需 要 掌 握 的 考 点 字 体 字 形 和 字 号 的 设 置 ; 上 标 下 标 空 心 字 等 字 体 效 果 的 使 用 ; 字 符 间 距 的 调 整 ; 改 变 字 符 颜 色 底 纹 添 加

More information

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc - 1 - - 2 - 附 件 全 国 建 筑 市 场 注 册 执 业 人 员 不 良 记 录 认 定 标 准 ( 试 行 ) 说 明 为 了 完 善 建 筑 市 场 注 册 执 业 人 员 诚 信 体 系 建 设, 规 范 执 业 和 市 场 秩 序, 依 据 相 关 法 律 法 规 和 部 门 规 章, 根 据 各 行 业 特 点, 我 部 制 订 了 全 国 建 筑 市 场 注 册 执 业 人

More information

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年 工 程 设 计 与 施 工 资 质 标 准 一 总 则 建 筑 智 能 化 工 程 设 计 与 施 工 资 质 标 准 ( 一 ) 为 了 加 强 对 从 事 建 筑 智 能 化 工 程 设 计 与 施 工 企 业 的 管 理, 维 护 建 筑 市 场 秩 序, 保 证 工 程 质 量 和 安 全, 促 进 行 业 健 康 发 展, 结 合 建 筑 智 能 化 工 程 的 特 点, 制 定 本 标

More information

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011 关 于 2015-2016 学 年 第 二 学 期 期 末 周 内 考 试 时 间 地 点 安 排 选 课 课 号 班 级 名 称 课 程 名 称 课 程 性 质 合 考 人 数 实 际 人 数 考 试 教 室 考 试 段 考 试 时 间 (2015-2016-2)-0006178-04247-1 130101 测 试 技 术 基 础 学 科 基 础 必 修 课 35 35 1 教 401 17 周

More information

Microsoft Word - 文件汇编.doc

Microsoft Word - 文件汇编.doc 北 京 市 中 医 管 理 局 二 一 五 年 四 月 ... 1... 18 2015... 30 京 中 医 政 字 [2014]160 号 1 2 一 充 分 认 识 中 医 健 康 乡 村 建 设 工 作 的 重 要 意 义 二 建 立 健 全 工 作 保 障 机 制 2014 12 15 三 做 好 工 作 启 动 的 准 备 事 宜 1 2014 12 15 5-10 2014 12 15

More information

国债回购交易业务指引

国债回购交易业务指引 附 件 1 上 海 证 券 交 易 所 新 质 押 式 国 债 回 购 交 易 业 务 指 引 一 总 述 根 据 上 海 证 券 交 易 所 债 券 交 易 实 施 细 则, 上 证 所 将 于 2006 年 5 月 8 日 起 推 出 新 质 押 式 国 债 回 购 新 质 押 式 回 购 与 现 行 质 押 式 回 购 相 比 区 别 主 要 在 以 下 几 个 方 面 :1 新 质 押 式

More information

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % %

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % % 抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 王 红 曼 抗 战 时 期 国 民 政 府 为 适 应 战 时 经 济 金 融 的 需 要 实 行 由 财 政 部 四 联 总 处 中 央 银 行 等 多 家 机 构 先 后 共 同 参 与 的 多 元 化 银 行 监 理 体 制 对 战 时 状 态 下 的 银 行 发 展 与 经 营 安 全 进 行 了 大 规 模 的 设 计 与

More information

课程类 别

课程类 别 美 声 演 唱 方 向 培 养 方 案 一 培 养 目 标 本 方 向 要 求 学 生 德 智 体 美 全 面 发 展, 培 养 能 在 文 艺 团 体 从 事 声 乐 演 唱 及 能 在 艺 术 院 校 从 事 本 方 向 教 学 的 高 级 门 人 才 二 培 养 规 格 本 方 向 学 生 应 系 统 掌 握 声 乐 演 唱 方 面 的 理 论 和 技 能, 具 备 较 高 的 声 乐 演 唱

More information

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63>

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63> 附 件 1 国 际 中 药 专 业 高 级 技 术 职 称 评 审 条 件 及 报 名 材 料 一 系 列 ( 一 ) 中 1 高 级 专 科 ( 副 ) 高 级 专 科 ( 副 ) 1 取 得 中 专 科 职 称 后, 独 立 从 事 中 临 床 实 践 5 年 以 上 2 取 得 中 博 士 学 位 后, 临 床 实 践 2 年 以 上 3 取 得 中 硕 士 学 位 后, 临 床 实 践 7

More information

Template BR_Rec_2005.dot

Template BR_Rec_2005.dot ITU-R BT.1789 建 议 书 1 ITU-R BT.1789 建 议 书 在 分 组 视 频 传 输 中 利 用 传 输 误 码 信 息 重 建 接 收 视 频 的 方 法 (ITU-R 44/6 和 ITU-R 109/6 课 题 ) (2007 年 ) 范 围 本 建 议 书 对 业 务 提 供 商 重 建 接 收 视 频 的 方 法 做 了 详 细 介 绍, 以 便 利 用 传 输

More information

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日 河 北 师 范 大 学 学 报 新 时 期 海 峡 两 岸 高 校 开 放 招 生 问 题 探 讨 郑 若 玲 王 晓 勇 海 峡 两 岸 高 校 开 放 招 生 是 新 时 期 推 进 海 峡 两 岸 高 等 教 育 交 流 与 合 作 的 重 要 尝 试 系 统 梳 理 改 革 开 放 以 来 两 岸 招 生 政 策 与 就 学 人 数 发 展 变 化 的 历 史 进 程 可 发 现 促 进 两

More information

4 进 入 交 互 区 设 置 的 组 件 管 理, 在 组 件 管 理 中, 教 师 可 以 选 择 课 程 空 间 中 的 所 有 组 件, 并 通 过 点 击 启 用 或 不 启 用 选 定 组 件 在 课 程 空 间 中 的 显 示 5 进 入 工 作 室 管 理 的 工 作 室 首 页,

4 进 入 交 互 区 设 置 的 组 件 管 理, 在 组 件 管 理 中, 教 师 可 以 选 择 课 程 空 间 中 的 所 有 组 件, 并 通 过 点 击 启 用 或 不 启 用 选 定 组 件 在 课 程 空 间 中 的 显 示 5 进 入 工 作 室 管 理 的 工 作 室 首 页, 网 络 教 育 新 平 台 教 师 使 用 简 易 手 册 一 登 录 教 师 工 作 室 1 打 开 西 南 科 技 大 学 网 络 教 育 教 学 教 务 新 平 台 主 页 面 :http://www.swust.net.cn/ 2 在 主 页 面 左 边 的 登 陆 区 中, 用 户 名 和 密 码 处 分 别 输 入 自 己 的 用 户 名 ( 教 师 ID 号 ) 和 密 码 ( 初 始

More information

科 学 出 版 社 科 学 出 版 社 前 言 本 书 是 针 对 普 通 高 等 院 校 经 济 类 和 工 商 管 理 类 本 科 专 业 财 务 管 理 学 的 教 学 需 求, 结 合 教 育 部 经 济 管 理 类 本 科 财 务 管 理 学 课 程 教 学 大 纲 编 写 而 成 的 本 书 执 笔 者 都 是 长 期 工 作 在 财 务 管 理 教 学 一 线 的 专 业 教 师,

More information

目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1 系 统 管 理 员 登 陆... 4 2.2 班

目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1 系 统 管 理 员 登 陆... 4 2.2 班 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 使 用 说 明 书 制 作 : 北 京 博 导 前 程 信 息 技 术 有 限 公 司 目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1

More information

国家职业标准:网络课件设计师

国家职业标准:网络课件设计师 国 家 职 业 标 准 : 网 络 设 师 1. 职 业 概 况 1.1 职 业 名 称 网 络 设 师 1.2 职 业 定 义 运 用 学 习 理 论 和 教 学 设 原 理, 依 托 多 媒 体 与 网 络 技 术, 从 事 网 络 内 容 分 设 制 作 和 评 价 等 工 作 的 人 员 1.3 职 业 等 级 本 职 业 共 设 三 个 等 级, 分 别 为 : 四 级 网 络 设 师 (

More information

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 师 1.2 职 业 定 义 可 编 程 师 国 家 职 业 标 准 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 进 行 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四 个 等 级, 分 别 为 : 四 级 可 编 程 师 ( 国 家 职 业 资 格 四 级 ) 三

More information

珠江钢琴股东大会

珠江钢琴股东大会 证 券 代 码 :002678 证 券 简 称 : 珠 江 钢 琴 公 告 编 号 :2015-038 广 州 珠 江 钢 琴 集 团 股 份 有 限 公 司 2015 年 年 度 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 :

More information

自 服 务 按 钮 无 法 访 问 新 系 统 的 自 服 务 页 面 因 此 建 议 用 户 从 信 网 中 心 (http://nc.tju.edu.cn) 主 页, 右 下 角 位 置 的 常 用 下 载, 或 校 园 网 用 户 自 服 务 (http://g.tju.edu.cn) 首 页

自 服 务 按 钮 无 法 访 问 新 系 统 的 自 服 务 页 面 因 此 建 议 用 户 从 信 网 中 心 (http://nc.tju.edu.cn) 主 页, 右 下 角 位 置 的 常 用 下 载, 或 校 园 网 用 户 自 服 务 (http://g.tju.edu.cn) 首 页 校 园 网 认 证 计 费 系 统 变 更 说 明 及 使 用 帮 助 为 提 高 校 园 网 的 可 靠 性 和 可 用 性, 提 升 用 户 的 上 网 体 验, 同 时 也 为 解 决 近 期 校 园 网 无 法 认 证 或 登 录 页 面 弹 出 缓 慢 的 问 题, 信 网 中 心 于 近 期 对 校 园 网 认 证 计 费 系 统 进 行 升 级 切 换 现 将 升 级 后 新 系 统

More information

<443A5C6D B5C30312EB9A4D7F7CEC4B5B55C30322EBACFCDACCEC4B5B55C C30342EC8CBC9E7CCFC5C31332ECFEEC4BFC5E0D1B55C E30385C322EB2D9D7F7CAD6B2E12E646F63>

<443A5C6D B5C30312EB9A4D7F7CEC4B5B55C30322EBACFCDACCEC4B5B55C C30342EC8CBC9E7CCFC5C31332ECFEEC4BFC5E0D1B55C E30385C322EB2D9D7F7CAD6B2E12E646F63> 浙 江 职 业 能 力 建 设 信 息 系 统 职 业 技 能 鉴 定 考 务 管 理 用 户 操 作 手 册 二 〇 一 五 年 八 月 目 录 0. 系 统 概 述...3 0.0. 简 要 概 述...3 0.1. 业 务 流 程 图... 3 1. 考 生 网 上 报 名... 3 1.0. 考 生 用 户 注 册 登 录... 5 1.1. 报 名 及 下 载 打 印 报 名 申 请 表...7

More information

目 录 一 激 活 账 号... 2 二 忘 记 密 码 后 如 何 找 回 密 码?... 3 三 如 何 管 理 学 校 信 息 及 球 队 学 生 教 师 等 信 息... 6 四 如 何 发 布 本 校 校 园 文 化?... 11 五 如 何 向 教 师 发 送 通 知?... 13 六

目 录 一 激 活 账 号... 2 二 忘 记 密 码 后 如 何 找 回 密 码?... 3 三 如 何 管 理 学 校 信 息 及 球 队 学 生 教 师 等 信 息... 6 四 如 何 发 布 本 校 校 园 文 化?... 11 五 如 何 向 教 师 发 送 通 知?... 13 六 一 刻 校 园 足 球 管 理 平 台 使 用 说 明 ( 学 校 管 理 员 版 ) 一 刻 软 件 科 技 有 限 公 司 目 录 一 激 活 账 号... 2 二 忘 记 密 码 后 如 何 找 回 密 码?... 3 三 如 何 管 理 学 校 信 息 及 球 队 学 生 教 师 等 信 息... 6 四 如 何 发 布 本 校 校 园 文 化?... 11 五 如 何 向 教 师 发 送

More information

云信Linux SSH认证代理用户手册

云信Linux SSH认证代理用户手册 Windows 主 机 登 录 保 护 (RDP) 管 理 员 配 置 手 册 V1.0 云 信 事 业 部 飞 天 诚 信 科 技 股 份 有 限 公 司 www.cloudentify.com 章 节 目 录 第 1 章 管 理 平 台 配 置 说 明... 1 1.1 注 册... 1 1.2 登 录... 3 1.3 添 加 应 用... 4 1.4 添 加 用 户... 7 1.5 激 活

More information

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 国 家 职 业 标 准 1 可 编 程 序 控 制 系 统 设 计 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 序 控 制 系 统 设 计 师 1.2 职 业 定 义 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 系 统 进 行 设 计 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四

More information

登录、注册功能的测试用例设计.doc

登录、注册功能的测试用例设计.doc 注 册 登 陆 测 试 用 例 和 修 改 密 码 测 试 用 例 完 整 版 摘 自 网 络, 狗 狗 整 理 zqh139@126.com 修 改 历 史 日 期 版 本 作 者 修 改 内 容 评 审 号 变 更 控 制 号 2010-11-25 1.0 初 稿 2011-09-17 2.0 整 理 一 注 册 测 试 用 例 序 号 : 1 控 件 名 称 : 功 能 描 述 : 注 册 编

More information

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 1 复 试 流 程 2 复 试 考 查 形 式 02 03 3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 2 怎 样 给 导 师 留 下 良 好 的 第 一 印 象 把 握 进 门 时 机 1 面 试 中 穿 着 的 瞒 天 过 海 3 无 声 胜 有 声 的 肢 体 语 言 育 4 眼 睛 是 心

More information

微软用户

微软用户 学 生 空 间 用 户 操 作 手 册 目 录 前 言... 2 一 登 录 学 生 空 间... 2 二 学 生 空 间 页 面... 3 三 功 能 区... 3 3.1 课 程 学 习... 5 3.2 学 务 管 理... 7 3.3 学 习 档 案... 7 3.4 资 料 管 理... 8 3.7 课 程 班 级... 11 3.8 我 要 评 价... 11 四 交 流 互 动...

More information

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378>

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378> 上 海 德 载 中 怡 律 师 事 务 所 关 于 昂 华 ( 上 海 ) 自 动 化 工 程 股 份 有 限 公 司 二 〇 一 二 年 年 度 股 东 大 会 法 律 意 见 书 上 海 德 载 中 怡 律 师 事 务 所 上 海 市 银 城 中 路 168 号 上 海 银 行 大 厦 1705 室 (200120) 电 话 :8621-5012 2258 传 真 :8621-5012 2257

More information

世华财讯模拟操作手册

世华财讯模拟操作手册 第 一 部 分 : 股 票 模 拟 操 作 部 分 1. 登 录 与 主 界 面 1.1 登 录 学 生 在 桌 面 上, 打 开 世 华 文 件 夹, 直 接 双 击 文 件 夹 中 的 快 捷 图 标, 系 统 弹 出 世 华 财 讯 模 拟 股 票 交 易 系 统 ( 客 户 端 ) 窗 口, 如 图 1.1 所 示 图 1.1 请 输 入 登 录 名 称 及 密 码, 单 击 确 认 登 录

More information

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 县 乡 两 级 的 政 治 体 制 改 革 如 何 建 立 民 主 的 合 作 新 体 制 县 乡 人 大 运 行 机 制 研 究 课 题 组 引 言 一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 二 密 县 在 周 初 是 两 个 小 国 密 国 和 郐 国 三 密 县 的 第 一 任 县 令 卓 茂 四 明 清 时 代 的 密 县 二 从 集 中 的 动 员 体

More information

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2 公 开 上 海 市 城 乡 建 设 和 管 理 委 员 会 文 件 沪 建 管 2014 758 号 上 海 市 城 乡 建 设 和 管 理 委 员 会 关 于 印 发 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 的 通 知 各 区 县 建 设 和 交 通 委 员 会 : 为 进 一 步 加 强 对 建 设 工 程 施 工 现

More information

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,,

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,, ( ) ( )... 李 雪 岩, 龙 耀 (. 广 西 民 族 大 学 商 学 院, 广 西 南 宁 ;. 中 山 大 学 教 育 学 院, 广 东 广 州 ) : 高 等 教 育 是 专 业 教 育 高 考 是 为 高 等 教 育 服 务 的, 是 为 高 等 专 业 教 育 选 拔 有 专 业 培 养 潜 质 的 人 才 现 行 高 考 制 度 忽 略 专 业 潜 质 的 因 素, 过 份 强

More information

张 荣 芳 中 山 大 学 历 史 系 广 东 广 州 张 荣 芳 男 广 东 廉 江 人 中 山 大 学 历 史 系 教 授 博 士 生 导 师 我 们 要 打 破 以 前 学 术 界 上 的 一 切 偶 像 以 前 学 术 界 的 一 切 成 见 屏 除 我 们 要 实 地 搜 罗 材 料 到 民 众 中 寻 方 言 到 古 文 化 的 遗 址 去 发 掘 到 各 种 的 人 间 社 会 去

More information

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术 住 房 和 城 乡 建 设 部 关 于 印 发 工 程 勘 察 资 质 标 准 的 通 知 建 市 [2013]9 号 各 省 自 治 区 住 房 和 城 乡 建 设 厅, 北 京 市 规 划 委, 天 津 上 海 市 建 设 交 通 委, 重 庆 市 城 乡 建 设 委, 新 疆 生 产 建 设 兵 团 建 设 局, 总 后 基 建 营 房 部 工 程 局, 国 务 院 有 关 部 门 建 设 司,

More information

全国教师资格认定管理信息系统

全国教师资格认定管理信息系统 操 作 说 明 一 教 师 资 格 认 定 申 请 人 1 : 1. 未 参 加 全 国 统 考 申 请 人 2.1 登 录 未 参 加 全 国 统 考 申 请 人 网 上 报 名 系 统 登 录 中 国 教 师 资 格 网 (http://www.jszg.edu.cn), 未 参 加 全 国 统 考 申 请 人 有 两 种 途 径 进 入 报 名 系 统 第 一 种 途 径 : 点 击 网 站

More information

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公 证 券 代 码 :300017 证 券 简 称 : 网 宿 科 技 公 告 编 号 :2016-053 网 宿 科 技 股 份 有 限 公 司 关 于 调 整 公 司 2015 年 股 票 期 权 激 励 计 划 激 励 对 象 股 票 期 权 数 量 和 行 权 价 格 的 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 没 有 虚 假 记

More information

2006年顺德区高中阶段学校招生录取分数线

2006年顺德区高中阶段学校招生录取分数线 2014 年 顺 德 区 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 录 取 根 据 佛 山 市 办 提 供 的 考 生 数 据, 现 将 我 区 2014 年 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 的 录 取 公 布 如 下 : 一 顺 德 一 中 录 取 分 第 1 志 愿, 总 分 585, 综 合 表 现 评 价 A, 考

More information

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅 小 麦 净 多 持 仓 增 加, 豆 油 豆 粕 净 多 持 仓 减 少 美 国 CFTC 持 仓 报 告 部 门 : 市 场 研 究 与 开 发 部 类 型 : 量 化 策 略 周 报 日 期 :212 年 5 月 7 日 电 话 :592-5678753 网 址 :www.jinyouqh.com 主 要 内 容 : 根 据 美 国 CFTC 公 布 的 数 据, 本 报 告 中 的 11 个

More information

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102 华 中 师 范 大 学 2016 年 接 收 校 内 外 优 秀 硕 士 研 究 生 调 剂 信 息 表 名 称 经 济 与 工 商 管 理 学 院 020101 政 治 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校 不 低 于 我 校 办 学 层 次 经 济 与 工 商 管 理 学 院 020105 世 界 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校

More information

<4D6963726F736F667420576F7264202D20C6F3D2B5C5E0D1B5CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20C6F3D2B5C5E0D1B5CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 企 业 培 训 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 企 业 培 训 师 1.2 职 业 定 义 指 能 够 结 合 经 济 技 术 发 展 和 就 业 要 求, 研 究 开 发 针 对 新 职 业 ( 工 种 ) 的 培 训 项 目, 以 及 根 据 企 业 生 产 经 营 需 要, 掌 握 并 运 用 现 代 培 训 理 念 和 手 段, 策 划 开 发 培

More information

第2章 数据类型、常量与变量

第2章  数据类型、常量与变量 第 2 章 数 据 类 型 常 量 与 变 量 在 计 算 机 程 序 中 都 是 通 过 值 (value) 来 进 行 运 算 的, 能 够 表 示 并 操 作 值 的 类 型 为 数 据 类 型 在 本 章 里 将 会 介 绍 JavaScript 中 的 常 量 (literal) 变 量 (variable) 和 数 据 类 型 (data type) 2.1 基 本 数 据 类 型 JavaScript

More information

i 1) 系 统 运 作 前 设 定 *1. [2.1 网 页 主 机 名 称 设 定 ] -- 设 定 校 务 系 统 的 主 机 IP 地 址, 以 供 其 他 个 人 电 脑 连 接 及 使 用 该 系 统 *2. [2.3.1 输 入 / 修 改 学 校 资 料 ] -- 输 入 系 统 使

i 1) 系 统 运 作 前 设 定 *1. [2.1 网 页 主 机 名 称 设 定 ] -- 设 定 校 务 系 统 的 主 机 IP 地 址, 以 供 其 他 个 人 电 脑 连 接 及 使 用 该 系 统 *2. [2.3.1 输 入 / 修 改 学 校 资 料 ] -- 输 入 系 统 使 校 务 系 统 使 用 步 骤 说 明 手 册 简 介 此 手 册 是 配 合 校 务 系 统 使 用 手 册 编 辑 的, 因 此 必 须 同 时 参 考 校 务 系 统 使 用 手 册, 以 获 知 更 详 细 的 使 用 说 明 此 手 册 主 要 记 载 几 项 较 为 复 杂 事 务 的 处 理 步 骤 及 说 明, 以 让 使 用 者 更 容 易 掌 握 及 使 用 校 务 系 统 其

More information

·岗位设置管理流程

·岗位设置管理流程 实 施 岗 位 设 置 岗 位 设 置 编 码 受 控 状 态 执 行 心 门 行 政 人 力 资 控 制 门 总 经 办 源 各 职 能 门 行 政 人 力 资 源 总 经 办 总 经 理 根 据 公 司 发 展 战 略 进 行 职 能 分 解 和 机 构 设 置 工 作 分 析 根 据 人 力 资 源 规 划 确 定 编 制 意 见 职 责 划 分 与 岗 位 设 置 制 作 职 务 说 明 书

More information

第 一 部 分 MagiCAD for Revit 安 装 流 程

第 一 部 分 MagiCAD for Revit 安 装 流 程 MagiCAD 软 件 安 装 流 程 MagiCAD v2015.4 for Revit 广 联 达 软 件 股 份 有 限 公 司 BIM 中 心 编 写 2015 年 06 月 第 一 部 分 MagiCAD for Revit 安 装 流 程 一 安 装 前 需 要 确 认 的 内 容 安 装 MagiCAD 程 序 之 前, 请 您 先 确 定 以 下 事 宜 1. 当 前 用 户 账 户

More information

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63>

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63> 国 内 各 期 货 交 易 所 关 于 合 约 限 仓 方 面 的 规 定 上 海 期 货 交 易 所 经 纪 会 员 非 经 纪 会 员 和 客 户 的 期 货 合 约 在 不 同 时 期 限 仓 的 具 体 比 例 和 数 额 如 下 : ( 单 位 : ) 合 约 挂 牌 至 交 割 月 前 第 二 月 的 最 后 一 个 交 易 日 交 割 月 前 第 一 月 交 割 月 份 某 一 期 货

More information

(1) 信 息 系 统 项 目 管 理 综 合 知 识, 考 试 时 间 为 150 分 钟, 笔 试, 选 择 题 ; (2) 信 息 系 统 项 目 管 理 案 例 分 析, 考 试 时 间 为 90 分 钟, 笔 试, 问 答 题 ; (3) 信 息 系 统 项 目 管 理 论 文, 考 试

(1) 信 息 系 统 项 目 管 理 综 合 知 识, 考 试 时 间 为 150 分 钟, 笔 试, 选 择 题 ; (2) 信 息 系 统 项 目 管 理 案 例 分 析, 考 试 时 间 为 90 分 钟, 笔 试, 问 答 题 ; (3) 信 息 系 统 项 目 管 理 论 文, 考 试 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 一 考 试 说 明 信 息 系 统 项 目 管 理 师 考 试 大 纲 1. 考 试 要 求 (1) 掌 握 信 息 系 统 知 识 ; (2) 掌 握 信 息 系 统 项 目 管 理 知 识 和 方 法 ; (3) 掌 握 大 型 复 杂 项 目 管 理 和 多 项 目 管 理 的 知 识 和 方 法 ; (4)

More information

<4D F736F F D20322EC9F3BACBC8CBD4B1D7CAB8F1D7A2B2E1B9DCC0EDB9E6B7B6B8BDB1ED2E646F63>

<4D F736F F D20322EC9F3BACBC8CBD4B1D7CAB8F1D7A2B2E1B9DCC0EDB9E6B7B6B8BDB1ED2E646F63> 审 核 人 员 资 格 管 理 规 范 版 次 :F/0 附 表 1: QMS/EMS/OHSMS 管 理 体 系 审 核 员 通 用 要 求 申 请 条 件 初 次 综 合 素 质 考 核 越 级 晋 升 条 件 实 习 审 核 员 审 核 员 主 任 审 核 员 1. 高 等 教 育 : 大 学 本 科 以 上 学 历, 或 大 专 学 历 及 相 2. 工 作 1 : ; 3. 专 业 工 作

More information

ETF、分级基金规模、份额变化统计20130816

ETF、分级基金规模、份额变化统计20130816 ETF 分 级 基 金 规 模 份 额 变 化 统 计 截 至 上 周 末, 全 市 场 股 票 型 ETF 规 模 约 1451 亿, 份 额 约 1215 亿,ETF 总 份 额 及 规 模 的 周 变 动 值 分 别 为 -23-44 亿, 份 额 与 规 模 均 下 降 ; 分 级 基 金 规 模 约 438 亿, 份 额 572 亿, 总 份 额 及 规 模 的 周 变 动 值 分 别 为

More information

一、资质申请

一、资质申请 二 工 程 监 理 企 业 资 质 有 关 问 答 111 什 么 样 的 企 业 可 以 在 本 省 申 请 工 程 监 理 企 业 资 质? 答 : 在 鄂 取 得 法 人 营 业 执 照 或 合 伙 企 业 营 业 执 照 的 企 业, 都 可 依 法 向 工 商 注 册 所 在 省 或 市 建 设 行 政 主 管 部 门 行 政 审 批 部 门 申 请 工 程 监 理 企 业 资 质 取 得

More information

(1) 连 续 从 事 本 职 业 工 作 2 年 以 上, 经 本 职 业 助 网 络 编 辑 师 正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 (2) 取 得 本 职 业 网 络 编 辑 员 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 工 作 2 年

(1) 连 续 从 事 本 职 业 工 作 2 年 以 上, 经 本 职 业 助 网 络 编 辑 师 正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 (2) 取 得 本 职 业 网 络 编 辑 员 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 工 作 2 年 国 家 职 业 标 准 : 网 络 编 辑 员 1. 职 业 概 况 1.1 职 业 名 称 网 络 编 辑 员 1.2 职 业 定 义 利 用 相 关 专 业 及 计 算 机 和 网 络 等 现 代 信 息 技 术, 从 事 互 联 网 建 设 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四 个 等 级, 分 别 为 : 网 络 编 辑 员 ( 国 家 职 业 资 格 四 级 ) 助

More information

抗 日 战 争 研 究 年 第 期

抗 日 战 争 研 究 年 第 期 田 子 渝 武 汉 抗 战 时 期 是 国 共 第 二 次 合 作 的 最 好 时 期 在 国 共 合 作 的 基 础 上 出 现 了 抗 日 救 亡 共 御 外 侮 的 局 面 这 个 大 好 局 面 的 出 现 与 中 共 长 江 局 的 丰 功 伟 绩 是 分 不 开 的 但 长 期 以 来 由 于 有 一 个 王 明 的 右 倾 错 误 直 接 影 响 了 对 它 的 全 面 科 学 准 确

More information

untitled

untitled ( 一 ) 深 刻 认 识 学 习 教 育 的 重 大 意 义 : - 3 - ( 二 ) 明 确 学 习 教 育 的 任 务 目 标 ( 三 ) 把 握 特 点 方 法 - 4 - ( 四 ) 坚 持 六 项 原 则 在 - 5 - ( 五 ) 着 力 解 决 问 题 - 6 - - 7 - - 8 - ( 一 ) 学 党 章 党 规, 进 一 步 明 确 党 员 标 准 树 立 行 为 规 范

More information

2 根 据 广 东 省 交 通 建 设 工 程 施 工 现 场 开 工 前 考 核 评 表 或 根 据 广 东 省 交 通 建 设 工 程 施 工 现 场 实 施 过 程 考 核 评 表 的 和 内 容 进 行 核 查 ; 3 现 场 抽 查 具 有 代 表 性 的 各 岗 位 人 员 ( 从 事

2 根 据 广 东 省 交 通 建 设 工 程 施 工 现 场 开 工 前 考 核 评 表 或 根 据 广 东 省 交 通 建 设 工 程 施 工 现 场 实 施 过 程 考 核 评 表 的 和 内 容 进 行 核 查 ; 3 现 场 抽 查 具 有 代 表 性 的 各 岗 位 人 员 ( 从 事 1.6 广 东 省 交 通 建 设 工 程 施 工 现 场 考 核 实 施 细 则 ( 试 行 ) 第 一 条 为 加 强 我 省 交 通 建 设 工 程 市 场 动 态 管, 健 全 行 业 的 督 管 体 系, 规 范 行 为, 提 高 工 作 质 量, 根 据 交 通 部 公 路 工 程 施 工 现 场 考 核 办 法 水 运 工 程 施 工 现 场 检 查 评 价 办 法 ( 试 行 ) 及

More information

2014年中央财经大学研究生招生录取工作简报

2014年中央财经大学研究生招生录取工作简报 2015 年 中 央 财 经 大 学 研 究 生 招 生 录 取 工 作 简 报 一 硕 士 研 究 生 招 生 录 取 情 况 2015 年 共 有 8705 人 报 考 我 校 硕 士 研 究 生, 其 中 学 术 型 研 究 生 报 考 3657 人, 专 业 硕 士 研 究 生 报 考 5048 人 ; 总 报 考 人 数 较 2014 年 增 长 1.4%, 学 术 型 报 考 人 数 较

More information

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc 0 -------------------------------------------------------------------------------- 2 ----------------------------------------------------------------------------- 2 节 评 ----------------------------------------------------------------------------------------------------

More information

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # #

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # # 马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则 马 俊 峰 在 社 会 公 正 问 题 的 大 讨 论 中 罗 尔 斯 诺 齐 克 哈 耶 克 麦 金 泰 尔 等 当 代 西 方 思 想 家 的 论 述 被 反 复 引 用 和 申 说 而 将 马 克 思 恩 格 斯 等 经 典 作 家 的 观 点 置 于 一 种 被 忽 视 甚 至 被 忘 却 的 状 态 形 成 这 种

More information

第1篇 道路桥梁工程技术核心专业课程标准及学习绩效考评体系

第1篇 道路桥梁工程技术核心专业课程标准及学习绩效考评体系 陕 西 铁 路 工 程 职 业 技 术 学 院 课 程 标 准 ( 适 用 建 筑 工 程 技 术 专 业 ) 课 程 名 称 : 单 位 工 程 施 工 组 织 设 计 执 笔 人 : 王 恒 博 审 定 人 : 编 制 时 间 : 年 月 日 陕 西 铁 路 工 程 职 业 技 术 学 院 制 表 二 〇 一 一 年 九 月 课 程 标 准 一 课 程 基 本 信 息 课 程 编 码 略 开 设

More information

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审 北 京 市 君 致 律 师 事 务 所 关 于 浪 潮 软 件 股 份 有 限 公 司 2015 年 度 股 东 大 会 的 法 律 意 见 书 致 : 浪 潮 软 件 股 份 有 限 公 司 北 京 市 君 致 律 师 事 务 所 ( 以 下 简 称 本 所 ) 受 浪 潮 软 件 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 的 委 托, 指 派 律 师 出 席 2016 年 4 月

More information

Cybozu Garoon 3 管理员手册

Cybozu Garoon 3 管理员手册 附 录 D 数 据 的 输 入 样 式 数 据 的 种 类 和 输 入 字 符 的 值 等, 在 Garoon3 的 页 面 输 入 的 数 据 样 式 如 下 所 示 基 本 系 统 客 户 信 息 法 人 姓 名 字 符 串 ( 00 法 人 姓 名 ( 拼 音 ) 字 符 串 ( 00 图 标 (URL) 字 符 串 ( 255 应 用 程 序 新 名 称 字 符 串 ( 00 用 户 姓 名

More information

5 436060469-B-002 行 政 处 罚 在 气 象 探 测 环 境 保 护 范 围 内 从 事 危 害 气 象 探 测 环 境 活 动 的 处 罚 中 华 人 民 共 和 国 气 象 法 第 三 十 五 条 第 一 款 第 二 项 6 436060469-B-003 行 政 处 罚 在

5 436060469-B-002 行 政 处 罚 在 气 象 探 测 环 境 保 护 范 围 内 从 事 危 害 气 象 探 测 环 境 活 动 的 处 罚 中 华 人 民 共 和 国 气 象 法 第 三 十 五 条 第 一 款 第 二 项 6 436060469-B-003 行 政 处 罚 在 权 力 清 单 目 录 部 门 ( 公 章 ): 填 表 日 期 :2015-8-10 代 码 权 力 类 型 职 权 名 称 法 定 依 据 工 作 流 程 工 作 时 限 实 施 主 体 承 办 科 室 1 436060469-A-001 行 政 许 可 防 雷 装 置 设 计 审 核 和 竣 工 验 收 国 务 院 对 确 需 保 留 的 行 政 审 批 项 目 设 定 行 政 许 可 的 决

More information

工 程 造 价 咨 询 企 业 管 理 系 统 操 作 手 册 目 录 1 造 价 企 业 登 录... 1 2 企 业 基 本 信 息 查 看... 3 3 企 业 人 员 信 息 查 看... 4 4 企 业 基 本 信 息 操 作... 5 4.1 企 业 简 介... 5 4.2 企 业 章

工 程 造 价 咨 询 企 业 管 理 系 统 操 作 手 册 目 录 1 造 价 企 业 登 录... 1 2 企 业 基 本 信 息 查 看... 3 3 企 业 人 员 信 息 查 看... 4 4 企 业 基 本 信 息 操 作... 5 4.1 企 业 简 介... 5 4.2 企 业 章 工 程 造 价 咨 询 企 业 管 理 系 统 操 作 手 册 工 程 造 价 咨 询 企 业 管 理 系 统 ( 造 价 企 业 ) 用 户 手 册 工 程 造 价 咨 询 企 业 管 理 系 统 操 作 手 册 目 录 1 造 价 企 业 登 录... 1 2 企 业 基 本 信 息 查 看... 3 3 企 业 人 员 信 息 查 看... 4 4 企 业 基 本 信 息 操 作... 5 4.1

More information

物 流 从 业 人 员 职 业 能 力 等 级 证 书 分 为 四 个 级 别, 分 别 为 初 级 助 理 级 中 级 和 高 级 ; 采 购 从 业 人 员 职 业 能 力 等 级 证 书 分 为 三 个 级 别, 分 别 为 中 级 高 级 和 注 册 级 请 各 有 关 单 位 按 照 通

物 流 从 业 人 员 职 业 能 力 等 级 证 书 分 为 四 个 级 别, 分 别 为 初 级 助 理 级 中 级 和 高 级 ; 采 购 从 业 人 员 职 业 能 力 等 级 证 书 分 为 三 个 级 别, 分 别 为 中 级 高 级 和 注 册 级 请 各 有 关 单 位 按 照 通 物 联 培 字 2016 16 号 各 有 关 单 位 : 为 适 应 国 家 一 带 一 路 战 略 实 施 和 物 流 产 业 转 型 升 级 对 人 才 的 新 要 求, 确 保 物 流 采 购 人 才 培 养 工 作 有 序 衔 接 和 持 续 健 康 发 展, 参 照 国 际 惯 例, 中 国 物 流 与 采 购 联 合 会 ( 以 下 简 称 中 物 联 ) 经 研 究 决 定, 以 物

More information

证券代码:000066 证券简称:长城电脑 公告编号:2014-000

证券代码:000066         证券简称:长城电脑        公告编号:2014-000 证 券 代 码 :000066 证 券 简 称 : 长 城 电 脑 公 告 编 号 :2016-092 中 国 长 城 计 算 机 深 圳 股 份 有 限 公 司 2016 年 度 第 三 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 其 董 事 会 全 体 成 员 保 证 信 息 披 露 内 容 的 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗

More information

际 联 考 的 非 美 术 类 本 科, 提 前 批 本 科 体 育 类 第 一 批 第 二 批 第 三 批 的 理 工 类 和 文 史 类 本 科 平 行 志 愿, 考 生 可 以 填 报 6 所 院 校 志 愿 符 合 贫 困 地 区 专 项 计 划 和 农 村 考 生 专 项 计 划 报 考

际 联 考 的 非 美 术 类 本 科, 提 前 批 本 科 体 育 类 第 一 批 第 二 批 第 三 批 的 理 工 类 和 文 史 类 本 科 平 行 志 愿, 考 生 可 以 填 报 6 所 院 校 志 愿 符 合 贫 困 地 区 专 项 计 划 和 农 村 考 生 专 项 计 划 报 考 第 四 部 分 平 行 志 愿 57. 什 么 是 平 行 志 愿?/ 32 58. 我 省 在 哪 个 批 次 实 行 平 行 志 愿? 考 生 最 多 可 以 填 报 几 所 院 校 志 愿?/ 32 59. 第 一 二 三 批 本 科 平 行 志 愿 如 何 投 档?/ 32 60. 艺 术 本 科 ( 二 ) 艺 术 本 科 ( 三 ) 和 体 育 本 科 的 平 行 志 愿 如 何 投 档?/

More information

关于修订《沪市股票上网发行资金申购

关于修订《沪市股票上网发行资金申购 关 于 修 订 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 的 通 知 各 有 关 单 位 : 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 ( 修 订 稿 ) ( 见 附 件 ) 已 经 中 国 证 券 监 督 管 理 委 员 会 批 准, 现 将 修 订 所 涉 主 要 内 容 公 布 如 下 一 第 二 条 ( 二 ) 申 购 单 位 及 上 限 修 改

More information

生产支援功能 使用说明书(IP-110 篇)

生产支援功能 使用说明书(IP-110 篇) 生 产 支 援 功 能 使 用 说 明 书 (IP-110 篇 ) 目 录!. 前 言...1 1. 概 要...1 2. 基 本 操 作 方 法...3 2-1. 信 息 模 式 和 通 常 缝 制 模 式 的 变 换...3 2-2. 信 息 模 式...4 2-3. 通 常 缝 制 模 式...5 @. 设 定 篇...6 1. 首 次 使 用 生 产 支 援 功 能 时 的 设 定 方 法...6

More information

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以 2015 年 考 研 数 学 二 考 试 大 纲 考 试 科 目 : 高 等 数 学 线 性 代 数 考 试 形 式 和 试 卷 结 构 一 试 卷 满 分 及 考 试 时 间 试 卷 满 分 为 150 分, 考 试 时 间 为 180 分 钟. 二 答 题 方 式 答 题 方 式 为 闭 卷 笔 试. 三 试 卷 内 容 结 构 高 等 教 学 约 78% 线 性 代 数 约 22% 四 试 卷

More information

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的 5 ( 一 ) 微 积 分 学 基 本 定 理 当 函 数 的 可 积 性 问 题 告 一 段 落, 并 对 定 积 分 的 性 质 有 了 足 够 的 认 识 之 后, 接 着 要 来 解 决 一 个 以 前 多 次 提 到 过 的 问 题 在 定 积 分 形 式 下 证 明 连 续 函 数 必 定 存 在 原 函 数. 一 变 限 积 分 与 原 函 数 的 存 在 性 设 f 在 [,] 上

More information

乐视云视频发行平台 操作手册 V1.1

乐视云视频发行平台            操作手册 V1.1 乐 视 云 视 频 发 行 平 台 操 作 手 册 V1.1 1 登 录 视 频 发 行 用 户 可 通 过 浏 览 器 访 问 http://vrp.lecloud.com/ 即 可 快 速 进 入 视 频 发 行 一 内 容 商 平 台 : 1 首 页 在 首 页 中, 您 可 以 查 看 视 频 播 放 总 数 被 订 购 商 品 数 订 单 总 数 拥 有 商 品 拥 有 内 容 默 认 查

More information

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程 考 试 时 间 2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 考 场 所 在 教 学 楼 ( 教 学 区 ) 考 试 教 室 课 程 号 课 程 名 考 生 所 在 专 业 ( 班 级 ) 考 生 所 属 学 院 8:10-9:50 第 二 公 共 教 学 楼 A 区 A101 10811026 高 等 数 学 (

More information

反 学 校 文 化 与 阶 级 再 生 产 小 子 与 子 弟 之 比 较 周 潇 作 者 通 过 对 北 京 某 打 工 子 弟 学 校 的 田 野 调 查 后 发 现 在 农 民 工 子 弟 中 间 盛 行 着 类 似 学 做 工 中 所 描 述 的 工 人 阶 级 小 子 的 反 学 校 文 化 但 是 由 于 制 度 安 排 与 社 会 条 件 的 差 异 子 弟 与 小 子 的 反 学 校

More information

全国艺术科学规划项目

全国艺术科学规划项目 全 国 艺 术 科 学 规 划 项 目 网 上 申 报 常 见 问 题 及 解 决 方 法 目 录 一 申 报 流 程...4 二 立 项 流 程...5 三 常 见 问 题 与 处 理...6 (1). 如 何 注 册...6 (2). 系 统 中 没 有 我 的 单 位 怎 么 办?...7 (3). 在 注 册 时 写 错 身 份 证 号 名 字 而 系 统 中 不 能 修 改 怎 么 办?...

More information

Microsoft Word - 资料分析练习题09.doc

Microsoft Word - 资料分析练习题09.doc 行 测 高 分 冲 刺 练 习 题 资 料 分 析 ( 共 15 题, 参 考 时 限 10 分 钟 ) 材 料 题 - 1 2012 年 1 月 某 小 区 成 交 的 二 手 房 中, 面 积 为 60 平 方 米 左 右 的 住 宅 占 总 销 售 套 数 的 ( ) A.25% B.35% C.37.5% 长 沙 市 雨 花 区 侯 家 塘 佳 天 国 际 大 厦 北 栋 20 楼 第 1

More information

操作手册

操作手册 企 业 网 上 银 行 使 用 手 册 ( 智 信 版 ) 中 国 农 业 银 行 股 份 有 限 公 司 重 庆 市 分 行 目 录 使 用 网 上 银 行 前 务 必 了 解 的 信 息... 3 1. 基 本 功 能... 3 2. 网 银 操 作 员... 3 3. 登 录 方 式... 3 4. 特 别 提 醒... 3 快 速 使 用 指 南... 4 1. 注 册 用 户... 4 2.

More information

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品 100.12 2016 年 龙 岩 市 部 门 预 算 表 报 送 日 期 : 年 月 日 单 位 负 责 人 签 章 : 财 务 负 责 人 签 章 : 制 表 人 签 章 : 收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 下 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 拟 在 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 上 市 的 公 司 首 次 公 开 发 行 股 票 网 下 发 行 业 务, 提 高 首 次 公 开 发 行 股 票 网 下 申 购 及 资 金 结 算 效 率, 根 据 证 券 发 行 与 承 销

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 行 为, 根 据 证 券 发 行 与 承 销 管 理 办 法 及 相 关 规 定, 制 定 本 细 则 第 二 条 通 过 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 交 易 系 统 并

More information