Microsoft Word - GD32 VS STM32 REV11.docx

Size: px
Start display at page:

Download "Microsoft Word - GD32 VS STM32 REV11.docx"

Transcription

1 GD32 介绍与 STM32 兼容性汇总 一 GD32 与 STM32 异同 1. 相同点 1) 外围引脚定义 : 相同型号的管脚定义相同 2) Cortex M3 内核 : STM32F103 内核 R1P1 版本, STM32F205 内核 R2P1, GD32 内核 R2P1 版本, 此内核修复了 R1P1 的一些 bug 3) 芯片内部寄存器, 外部 IP 寄存器地址 : 逻辑地址相同, 主要是根据 STM32 的寄存器和物理地址, 做的正向研发. 4) 函数库文件 : 函数库相同, 优化需要更改头文件 5) 编译工具 : 完全相同 例如 :keil MDK IAR 6) 型号命名方式 : 完全相同 2. 外围硬件区别 1) 电压范围 (ADC): GD32F: V STM32F: V( 外部电压 ) GD32F: 1.2V( 内核电压 )STM32F: 1.8V( 内核电压 ) 2) BOOT 0 管脚 : Flash 程序运行时,BOOT0 在 STM32 上可悬空,GD32 必须外部下拉 ( 从 Flash 运行,BOOT0 必须下拉地 ) 3) ESD 参数 : STM32 人体模式 2KV, 空气模式 500V GD32 人体模式 4KV( 内测 5KV), 空气模式 10KV( 内测 15KV) 3. 内部结构差别 1) 启动时间 : GD32 启动时间相同, 由于 GD 运行稍快, 需要延长上电时间 配置 (2ms) 2) 主频时钟 : GD32F10 系列主频 108MHZ STM32F10 系列主频 72MHZ 3) Flash 擦除时间 : GD32 是 60ms/page,STM 30ms/page 4) FLASH 容量 : GD32 最大容量 3M Byte 5) SRAM 空间 : GD32F103 系列 GD32F105\107 大容量系列 SRAM 96K 6) VB 外扩总线 FSMC:GD32 100PIN 配置总线输出,STM32 144PIN 并且 256k 以上 才配置总线输出 4. 功耗区别 ( 以 128k 以下容量的作为参考 ) 1) 睡眠模式 Sleep: GD32F: 12.4mA STM32F10X: 7.5mA 2) 深度睡眠模式 Deep Sleep: GD32F: 1.4mA STM32F10X: 24uA 3) 待机模式 Stand By: GD32F: 10.5uA STM32F10X: 3.4uA 4) 运行功耗 : GD32F: 32.4mA/72M STM32F10X: 52mA/72M

2 5. 内部 FLASH 区别 1) ISP: 擦写时间同 STM32 有差异, 使用新版 ISP 软件 2) IAP: 擦写时间相同, 按字写入, 按页擦除 3) 存储寿命 : 10 万次擦写, 数据保存 20 年以上 4) 加密特性 : 除了常规的禁止读出和 96 位 ID 号码加密之外,GD32 数据写入 Flash 时, 具有存储逻辑地址连续, 物理地址不连续的特性 二 GD32 介绍与兼容性详析 1. 系统 1) 晶振起振区别描述启动时间,GD32 与 STM32 启动时间都是 2ms, 实际上 GD 的执行效率快, 所以 ST 的 HSE_STARTUP_TIMEOUT ((uint16_t)0x0500) 是 2ms, 但是这个宏定义值在 GD 上时间就更加短了, 所以要加大这个值的设置将宏定义 : #define HSE_STARTUP_TIMEOUT ((uint16_t)0x0500) 修改为 : #define HSE_STARTUP_TIMEOUT ((uint16_t)0xffff) 备注 : 启动时间宏定义所在位置 : 1 在 V3.X 的库, 其启动时间宏定义在 stm32f10x.h 头文件中 ( 路径 :\..\Libraries\CMSIS\CM3) ( 库版本的不同, 所在目录也有所不同 ) 2 在 V3.0 以前的库, 其启动时间宏定义在 stm32f10x_rcc.c 源文件中 (HSEStartUp_TimeOut) ( 路径 :\..\Libraries\STM32F10x_StdPeriph_Driver\src) 2) 部分客户使用有源晶振出现问题, 在 GD32F103 小容量产品, 发现会在 MCU 的复位管脚一直把电平拉到 0.89V, 电平不能保持在高电平描述是由于部分有源晶振起振时间太快, 复位信号还没有完成导致的就是在有源晶振的输入端与地之前并上一个 30pf 电容 3) GD32 MCU 主频支持 108MHz 高性能, 在代码移植方面需要注意事项描述 GD32 通过芯片内部加大缓存, 提高了相同工作频率下的代码执行速度, 带来了高性能的使用体验 因此如果代码有用到 for 循环或 while 循环语句做精确定时的, 定时时间会由于代码执行速度加快而使循环的时间变短 使用 Timer 定时器则没有影响 4) GD32F105/107 系列 MCU 配置为 108MHz 有何不同描述通过 Clock configuration register (RCC_CFGR) 中, 第 21:18 位为 PLLMUL[3:0], 再结合第 29 位 PLLMUL[4] 组成 5 位的位域来确定 PLL 倍频系数, 即通过软件配置来定义 PLL 的倍频系数, 且 PLL 输出频率绝对不得超过最高主频 (108MHz)

3 2. 内部 Flash 1) 芯片设置读保护用法描述由于 GD 的 Flash 是自己的专利技术,STM 的 Flash 是第三方提供的, 所以 GD 的 Flash 和 STM 的 Flash 有些许差异 GD 的擦除时间会长一点在写完 KEY 序列以后, 需要读该位, 确认 key 已生效 所以, 这里应该插入 While(! (FLASH->CR & 0x200 ) ); // Wait OPTWRE 或可简单插入两个 NOP NOP(); NOP(); 在 ST 库中, 只有 FLASH_Status FLASH_EraseOptionBytes(void) FLASH_Status FLASH_ProgramOptionByteData(uint32_t Address, uint8_t Data) FLASH_Status FLASH_EnableWriteProtection(uint32_t FLASH_Pages) FLASH_Status FLASH_ReadOutProtection(FunctionalState NewState) 四个函数需要修改 2) IAP 在应用中编程描述 GD32 由于自有 flash 的 0 访问时序, 同 STM32 在 Flash 的 Erase 和 Program 上存在差别,GD32 的 Erase 和 Program 时间比 STM32 的稍微长些, 建议对 Erase 和 Program 时间进行修改 将宏定义 #define EraseTimeout #define ProgramTimeout ((uint32_t)0x000b0000) ((uint32_t)0x ) 修改为 : #define EraseTimeout #define ProgramTimeout ((uint32_t)0x000fffff) ((uint32_t)0x0000ffff) 备注 : Erase 和 Program 时间宏定义在 stm32f10x_flash.c 源文件中 ( 路径 :\..\Libraries\STM32F10x_StdPeriph_Driver\src) 3) 用 IAR 下载配置在批量生产的时候首先会烧写一个 USB 的 boot, 这个 boot 自动运行后在由上位机软件进行烧写应用程序 如果 boot 程序不能自动运行则需要重新插拔一次电源 给生产造成一些麻烦 产生不能自动运行程序的原因是如果程序设置读保护的话需要等待 FLASH_CR 的第 9[OPTWRE] 位为 1. 如果没有置位的话继续执行就会出错 由于 ST 的执行速度慢, 程序执行到读 FLASH_CR 寄存器的时候该位已经置 1,GD 的执行速度比较快, 程序运行到这的时候该位还没置 1, 因此需要在 FLASH_ReadOutProtection 函数里面添加一些轮询该位为 1 或者加一些延时

4 3. ISP 烧写软件 描述 1) ISP 烧写, 建议使用官方烧写软件 GD32 芯片内部 flash 同 STM32 有区别建议到 下载最新版本的 MCUISP 另外 GD32 也有专门的烧写软件 (GigaDevice MCU ISP Programmer) 可以到 论坛下载 如果使用自制的 ISP 软件或脱机编程器, 实现 ST 和 GD 完全兼容, 建议修改以下参数 1 页擦除等待超时时间增加至 300ms, 整片擦除等待超时时间增加至 3s 左右 2 字编程等待超时时间增加至 2ms, 页编程等等超时时间增加至 300ms I/O 口 1) IO 口外部中断使用方法描述在关闭期间, 如果外部引脚有电平的变化, 在使用 IMR 打开中断后会马上进入中断服务程序 理论是打开中断前, 不管管脚是否有电平的变化, 都不会影响到打开后的中断响应 所以就是通过禁用上升沿或者下降沿检测寄存器来开关中断, 不能使用 IMR 屏蔽寄存器 程序如下 : EXTI->FTSR &= ~EXTI_Line3; // 关闭沿检测, 以达到关闭中断的目的, 下降沿使用 FTSR 寄存器, 上升沿使用 RTSR 寄存器 EXTI->PR = EXTI_Line3; EXTI->FTSR = EXTI_Line3; 2) 在待机模式,PA8 引脚特殊设置描述在使用低功耗的情况下,PA8 会被 MCU 在内部被设置为地 PA8 复用为 MCU 内部频率输出, 超低功耗设置时需要悬空在待机模式,PA8 悬空不用 3) 低功耗下必须注意描述在使用低功耗情况下, 把软件全部端口 (A-F) 时钟关掉, 无论是否有该端口 4) 当有脉冲群冲击管脚描述需要在在进入中断后关闭中断

5 4. 定时器 1) 定时器输入捕获模式需要软件清中断描述 STM 定时器输入捕获模式默认能硬件清中断,GD 为了更加严格要求配置, 需要做软件清中断软件清除标志位 2) 定时器向上脉冲计数模式设置描述定时器的用法差异脉冲计数模式下, 装载值必须设置为比预期值大, 否则不计数在 ST 上如果重载值不设置 ( 初始为 0) 的时候,CNT 可以正常计数 在 GD 上如果重载值不设置保持初始为 0 的时候, 会因为重载值为零, 即便是来一个脉冲也会导致所有的寄存器复位从而不能正常计数 型号 GD32F1 系列 MCU (Flash 256KB 及以上的型号 ) 3) TIM ADC 模块描述 Timer ADC 模块的触发信号宽度要求由于内部有高速和低速两条外围总线,Timer ADC 模块和其他外设共同使用这两个总线 GD32F103/101 系列 Flash 128KB 及以下的型号,Timer ADC 等模块识别触发信号的条件是触发信号宽度大于模块所在总线的时钟宽度 5. 串口 USART 描述 1) USART 连续发送数据字节有空闲位 字节间有空闲位 对于一般的通讯来说, 不会有影响, 只对于一般在通讯上有特殊协议的, 才会 产生数据不准确的情况 所以, 特定情况, 修改程序 6. I2C 总线 描述 1) 硬件 I2C 特殊配置 GD 的 I2C 相对 STM 的来说要少一个标志位 1 宏地址定义改变 #define I2C_EVENT_SLAVE_TRANSMITTER_ADDRESS_MATCHED ((uint32_t)0x ) #define I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED

6 ((uint32_t)0x ) 2 硬件 I2C 在会在向从机发送 7bits 地址完成后, 从机还没来得及识别 ( 看客户应用 ) 我们可以在发送完 7bits 后加个延时, 让从机完全识别 : I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Transmitter); int i = 0xfff; while(i --); 3 检测 ADDR 不能使用 I2C_CheckEvent 函数, 因为他会清除 ADDR, 可以使用 I2C_GetFlagStatus 函数 就是把 while(!i2c_checkevent(i2c1, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED)); 改为 while(!i2c_getflagstatus(i2c1, I2C_FLAG_ADDR)); 4 还有个关于编程步骤的严谨性, 跟 STM 想比, 我们是先 Clear_ACK, 再 Clear_ARRD 7. ADC 采集 1) ADC 采样设置 描述 ADC 启动分三个方面 1. 当 ADON=0 时写入 1 后, 需要等待一段时间 t_wait, 如果用 ST 库的话就在 ADC_CMD 后面加 20us 左右的延时 2. 如果采用中断获得采样数据后, 需要软件清除中断 8. SDIO 1) SDIO DAT 3 pin 的在 1 bit bus mode 和 4 bit bus mode 下的配置描述 1 SDIO 在 1 bit bus mode 下,DAT 3 pin 是低电平, 这样会导致 SD Card 进入 SPI 模式 原因 : 初始化失败的原因主要是因为 GD32 的芯片 SDIO 的 DAT3 口存在 BUG 2 在 4 位模式下, 通过上面的方法, 程序能正常初始化, 但不能正常读写 SD 卡 原因 : 因为 DAT3 口在前面已经配置成推挽输出, 所以在 4 位模式下, 不能正常读下 在调用 4 位模式前, 把 DAT3 的端口配置成复用推挽输入即可解决问题 1 1 bit bus mode 的 : 建议在 SDIO 使能之前, 先把 SDIO DAT 3 pin 配置成推挽输出, 并且要置成高电平, 使 SDIO DAT 3 pin 保持高电平即可 2 4 bit bus mode 的 : 在调用 4 位模式前, 把 DAT3 的端口配置成复用输出即可解决问题

7 描述 2) 程序在刚烧完后能正常读写 SD 卡, 断电再上电后,SD 卡初始化失败, 需要手动 复位一次后才正常 在某些 SD 卡中,GD32 断电再上电, 会引起 SD 卡上的时钟信号不正常, 导致 SD 卡发送命令失败 在程序中, 打开 SD 卡时钟后, 增加一小段延时, 以保证 SD 卡时钟信号稳定 这个延时添加的地方 : 在 sdcard.c( 即 SDIO 的配置文件中 ), 然后在 SD_Error SD_Init(void) 这个函数中找到 SDIO_DeInit(); 就在这个后面加个延时 RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA2, ENABLE); SDIO_DeInit(); int i = 0xffff; while(i --); 10. USB A. USB_OTG 1) 客户使用 STM32 的 DFU 原工程时需要注意几点 1 在 usb_istr.c 中, 增加如下图红色字体语句 for (i=0;i<8;i++) EP[i] = _GetENDPOINT(i); for (i=0;i<8;i++) _SetENDPOINT(i, EP[i] & 0x7070); 2 在 usb_conf.h 中, 按照下图红色字体语句进行修改 #if defined(stm32l1xx_md) defined(stm32l1xx_hd) defined(stm32l1xx_md_plus) #define INTERN_FLASH_SECTOR_ERASE_TIME 100 #define INTERN_FLASH_SECTOR_WRITE_TIME 104 #else #define INTERN_FLASH_SECTOR_ERASE_TIME 100 #define INTERN_FLASH_SECTOR_WRITE_TIME 把固件库中的 stm32f10x_flash.c 使用附件的进行替换 4 软件进行读保护位时需要选使用 FLASH_Unlock(); 函数 int main(void) #if defined (USE_STM32L152D_EVAL) FLASH_Unlock(); FLASH_ClearFlag(FLASH_FLAG_OPTVERRUSR); #endif FLASH_Unlock(); FLASH_ReadOutProtection(ENABLE); FLASH_Lock();

8 2) 部分 USB 兼容性解 1. 部分 U 盘有 3 个端点, 数组越界导致 Itf_Desc 被清空, 所以主机不能识别设备决类型 USBH_conf.h 文件的 USBH_MAX_NUM_ENDPOINTS 的定义由 2 改成 3 就可以了方法将 :#define USBH_MAX_NUM_ENDPOINTS 2 改为 :#define USBH_MAX_NUM_ENDPOINTS 3 2. 在 In 端点中断处理程序 USB_OTG_USBH_handle_hc_n_In_ISR 中, 对于 NAK 中断, V1.0.0 版本的处理如下 : else if (hcint.b.nak) if(hcchar.b.eptype == EP_TYPE_INTR) UNMASK_HOST_INT_CHH (num); USB_OTG_HC_Halt(pdev, num); CLEAR_HC_INT(hcreg, nak); else if ((hcchar.b.eptype == EP_TYPE_CTRL) (hcchar.b.eptype == EP_TYPE_BULK)) /* re-activate the channel */ hcchar.b.chen = 1; hcchar.b.chdis = 0; USB_OTG_WRITE_REG32(&pdev->regs.HC_REGS[num]->HCCHAR, hcchar.d32); pdev->host.hc_status = HC_NAK; 而 V2.1.0 版本的 NAK 处理过程如下 : else if (hcint.b.nak) if(hcchar.b.eptype == EP_TYPE_INTR) UNMASK_HOST_INT_CHH (num); USB_OTG_HC_Halt(pdev, num); else if ((hcchar.b.eptype == EP_TYPE_CTRL) (hcchar.b.eptype == EP_TYPE_BULK)) /* re-activate the channel */ hcchar.b.chen = 1; hcchar.b.chdis = 0; USB_OTG_WRITE_REG32(&pdev->regs.HC_REGS[num]->HCCHAR, hcchar.d32); pdev->host.hc_status[num] = HC_NAK; CLEAR_HC_INT(hcreg, nak);

9 唯一的区别就是 CLEAR_HC_INT(hcreg, nak) 的位置, 在 V1.0.0 版本中对于 CTRL 和 BULK 端点的 NAK 中断没有清除 NAK, 我们的芯片会因此产生多次 IN 传输的请求, 导致数据传输错误 改为 V2.1.1 的写法后传输正常 ( 注意 HC_Status 在 V2.1.0 是数组, 在 V1.0.0 是单个数据, 直接拷贝的话要去掉后面的 [num]) B. USB 外设的工作频率有限制 描述 有最低工作频率的要求, 也就是 APB1 分频后的时钟必须大于 12MHz, 比如 HCLK 为 56MHz,APB1 的最大分频系数为 4,56/4 = 14MHz, 可以正常工作 11. SPI 1) 输入与输出配置要求 (STM32 不需要如此要求 ) 解决 GD32 在使用 SPI 时,IO 的配置必须严格遵守主从模式下的输入与输出配置, 而方法 STM32 无此要求, 相关代码如下 : 主机模式下 IO 配置 ( 主机以 SPI 为例 ): GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5 GPIO_Pin_7; GPIO_Init(GPIOA,&GPIO_InitStructure); GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6; GPIO_Init(GPIOA,&GPIO_InitStructure); 从机模式下 IO 配置 ( 从机以 SPI2 为例 ): GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13 GPIO_Pin_15; GPIO_Init(GPIOB,&GPIO_InitStructure); GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_14; GPIO_Init(GPIOB,&GPIO_InitStructure); 3) 在 GD32 的 SPI 的时钟信号, 空闲状态需要配置成高电平, 以保证数据的稳定性, 具体代码如下 : 红色字体代码解决 SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex; 方法 SPI_InitStructure.SPI_Mode = SPI_Mode_Master;

10 SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b; SPI_InitStructure.SPI_CPOL = SPI_CPOL_High; SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge; SPI_InitStructure.SPI_NSS = SPI_NSS_Soft; SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256; SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB; SPI_InitStructure.SPI_CRCPolynomial = 7; SPI_Init(SPI1, &SPI_InitStructure); 4) 当作为从机时, 在 GD32 中, 时钟信号必须为 8 的整数倍 例如 : 红色字体代码解决 SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex; 方法 SPI_InitStructure.SPI_Mode = SPI_Mode_Master; SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b; SPI_InitStructure.SPI_CPOL = SPI_CPOL_High; SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge; SPI_InitStructure.SPI_NSS = SPI_NSS_Soft; SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256; SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB; SPI_InitStructure.SPI_CRCPolynomial = 7; SPI_Init(SPI1, &SPI_InitStructure); 5) 在 GD32 中, 不能使用 SPI_I2S_FLAG_BSY 该位来判断 SPI 总线数据是否接收或发送完成 12. 看门狗 1) 进入 STOP 模式前打开看门狗, 通过 RTC 的 ALR 唤醒后, 程序会不断被复位的现像描述 IWDG 内部有个 Reload 信号,KEY 寄存器写 AAAA 会使其拉高, 过一段时间自动拉低 在拉底之前进入 STOP 状态会使 Reload 信号一直为高, 等到退出 STOP 后也保持为高, 之后再写 AAAA 没有办法让 Reload 产生上升沿, 也就没办法更新计数器了 进 STOP 之前不要 Reload, 也可以调整下程序的顺序, 把 IWDG 的配置放到 RTC 配置之前, 效果是一样的

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

1、

1、 0 友情提示 零死角玩转 STM32 系列教程由初级篇 中级篇 高级篇 系统篇 四个部分组成, 根据野火 STM32 开发板旧版教程升级而来, 且经过重新深入编写, 重新排版, 更适合初学者, 步步为营, 从入门到精通, 从裸奔到系统, 让您零死角玩转 STM32 M3 的世界, 与野火同行, 乐意惬无边 另外, 野火团队历时一年精心打造的 STM32 库开发实战指南 将于今年 10 月份由机械工业出版社出版,

More information

嵌入式系統期中報告 VS MP3/WMA AUDIO CODEC 指導老師 : 陳慶瀚教授 學號 : 姓名 : 沈宗億

嵌入式系統期中報告 VS MP3/WMA AUDIO CODEC 指導老師 : 陳慶瀚教授 學號 : 姓名 : 沈宗億 嵌入式系統期中報告 VS1003 - MP3/WMA AUDIO CODEC 指導老師 : 陳慶瀚教授 學號 :995302004 姓名 : 沈宗億 一 硬體週邊原理介紹 1. VS1003 概述 : VS1003 是一個單片 MP3/WMA/MIDI 音頻解碼器和 ADPCM 編碼器 它包含一個高性能, 自主產權的低功耗 DSP 處理器核 VS_DSP4, 工作數據存儲器, 為用戶應用提供 5KB

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

嵌入式系統期中報告

嵌入式系統期中報告 嵌入式系統期中報告 ENC28J60 使用 SPI 的獨立乙太網路控制器 指導老師 : 陳慶瀚教授 學號 :995302031 姓名 : 曾錦華 目錄 一 ENC28J60 硬體週邊原理介紹... 3 1 原理介紹... 3 2 ENC28J60 由七个主要功能模組组成... 3 二 SIOC 的 Driver 設計及主要程式說明... 4 1 Main.c... 4 2 Spi.c... 5 3

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

1、

1、 0 友情提示 零死角玩转 STM32 系列教程由初级篇 中级篇 高级篇 系统篇 四个部分组成, 根据野火 STM32 开发板旧版教程升级而来, 且经过重新深入编写, 重新排版, 更适合初学者, 步步为营, 从入门到精通, 从裸奔到系统, 让您零死角玩转 STM32 M3 的世界, 与野火同行, 乐意惬无边 另外, 野火团队历时一年精心打造的 STM32 库开发实战指南 将于今年 10 月份由机械工业出版社出版,

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

证券期货市场之主要诚信规范

证券期货市场之主要诚信规范 证 券 期 货 市 场 严 重 违 法 失 信 行 为 之 典 型 案 例 汇 编 二 〇 一 一 年 十 二 月 目 录 背 景 介 绍... 3 一 内 幕 交 易 泄 露 内 幕 信 息... 4 ( 一 ) 定 义... 4 ( 二 ) 法 律 责 任... 4 ( 三 ) 典 型 案 例... 4 1. 李 际 滨 黄 文 峰 内 幕 交 易 案... 4 2. 况 勇 张 蜀 渝 徐 琴

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

RTC

RTC STM32F0 使用 RTC Tamper 的几个注意事项 问题 : 该问题由某客户提出, 发生在 STM32F072 器件上 据其软件工程师讲述 : 使用 STM32F0 系列的标准外设库中 RTC_Tamper 的例程来进行修改, 例程中配置的是当在 RTC_TAMP1 引脚检测到上升沿的时候, 复位备份寄存器并产生中断 ; 客户根据其实际应用将配置中的上升沿改成下降沿, 以期待在检测到下降沿的时候,

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有定时器复用功能的管脚进入的外部事件进行计数或计时, 也可以在输出引脚上产生脉冲宽度调试 (PWM) 信号 每个 GPT 模块包含两个 16 位定时 / 计数器 (TimerA

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 为什么要发布 STM32F100 超值型 客户更容易在成本敏感的应用上使用 STM32 一些应用案例 STM32 帮你解决平台问题 太阳能逆变 负载控制 集中器 面临的挑战 : 1. 合适的平台 2. 扩展性和移植性 3. 便于维护 4. 可靠 单相表 归功于 STM32 F100 高性价比, 在单相表开始使用 国网中标

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

STM32Cube_FW_F4?RTC??????BUG

STM32Cube_FW_F4?RTC??????BUG STM32Cube_FW_F4 中 RTC_Calendar 例程的 BUG 前言 实时时钟 (RTC) 是一个独立的 BCD 定时器 / 计数器, 用来提供准确的日历和时间信息 准确性是其重要的指标 问题 某客户在其产品的设计中, 使用了 STM32F429IIT6 客户在使用过程发现一个问题, 虽然已经有使用电池对 VBAT 进行供电, 但是在经常频繁的 VDD 上下电之后, 发现时钟会比准确的时间慢几秒钟

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc 可程序计数数组 (PCA) 功能使用方法 1 适用产品 :SM59D04G2,SM59D03G2 2 应用说明 : PCA 共有五组, 每组皆可工作于以下七种模式 : 捕获模式 - 正缘捕获模式 (Positive edge capture mode) 捕获模式 - 负缘捕获模式 (Negative edge capture mode) 捕获模式 - 正缘及负缘捕获模式 (Both positive

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如下几个小节 : 5.1 W7500 串口简介 5.2 硬件设计 5.3 软件设计 5.4 下载验证 1 5.1 W7500 串口简介 串口作为 MCU

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依

如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依 如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依然有使用 IDE 生成可加密的库文件的需求, 因各种 IDE 之间在生成库的方法上有些不同, 调用的方式也有细微的差别,

More information

哈尔滨理工大学桂林工学院

哈尔滨理工大学桂林工学院 3888.00 ( 16.00 ) ...1...1...2...3...3...4...5...6...7...7 ( )...8... 11 ( )...12...16...19...21...25 (1)...32 I (3)...36 (2)...49...60...60...61...62...63...68...72 ( )...72 ( )...86...99... 102... 117...

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

1986 1 20 (1) (4) (6) (9) (17) (22) (23) (27) (33) (34) (35) (35) (96) (36) (37) (38) (39) (39) (40) (40) (41) (42) (43) (44) (44) (45) (45) (46) ( ) (50) ( ) (51) ( ) (52) (53) (55) (56) (59) (62) (67)

More information

Stm32 的学习之路系列 作者 :xuhaitao qq: 水平有限, 难免会有些错误, 如果大家发现欢迎指正 转载须注明出处和作者 本系列纪录了我学习 stm32 的经过及体会, 我把它安装各个外设的分类进行学习, 并纪录下其中过程体会等 所使用的芯片 stm32f103rbt

Stm32 的学习之路系列 作者 :xuhaitao qq: 水平有限, 难免会有些错误, 如果大家发现欢迎指正 转载须注明出处和作者 本系列纪录了我学习 stm32 的经过及体会, 我把它安装各个外设的分类进行学习, 并纪录下其中过程体会等 所使用的芯片 stm32f103rbt Stm32 的学习之路系列 作者 :xuhaitao qq:175471125 水平有限, 难免会有些错误, 如果大家发现欢迎指正 转载须注明出处和作者 本系列纪录了我学习 stm32 的经过及体会, 我把它安装各个外设的分类进行学习, 并纪录下其中过程体会等 所使用的芯片 stm32f103rbt6 开发环境 :MDK4.0 Stm32 的库为 V3.1.2 MDK4.0 自带的是 V2.0 的库,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

上市公司股东大会投票信息公告(20110916)

上市公司股东大会投票信息公告(20110916) 上 市 公 司 股 东 大 会 投 票 信 息 公 告 (20160608) 证 券 代 码 证 券 简 称 投 票 登 记 日 会 员 投 票 日 投 票 代 码 客 户 投 票 意 见 征 集 渠 道 投 票 意 愿 征 集 截 止 日 300089 文 化 长 城 2016-06-01 2016-06-08 365089 融 资 融 券 交 易 系 统 营 业 部 2016-06-07 300147

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

上市公司股东大会投票信息公告(20110916)

上市公司股东大会投票信息公告(20110916) 上 市 公 司 股 东 大 会 投 票 信 息 公 告 (20160526) 证 券 代 码 证 券 简 称 投 票 登 记 日 会 员 投 票 日 投 票 代 码 客 户 投 票 意 见 征 集 渠 道 投 票 意 愿 征 集 截 止 日 000835 长 城 动 漫 2016-05-19 2016-05-26 360835 融 资 融 券 交 易 系 统 营 业 部 2016-05-25 000973

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

zxj

zxj 舟 办 通 报 第 8 期 中 共 舟 山 市 委 办 公 室 2016 年 4 月 29 日 按 : 现 将 周 江 勇 同 志 在 2016 年 4 月 28 日 在 全 市 两 学 一 做 专 题 党 课 暨 学 习 教 育 部 署 会 上 的 讲 话 予 以 印 发, 请 认 真 贯 彻 落 实 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育, 是 党 中 央

More information

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB 皖 青 创 建 2015 3 号 关 于 命 名 2014 年 度 省 青 年 文 明 号 省 青 年 文 明 号 标 兵 和 省 青 年 文 明 号 先 进 工 作 者 的 决 定 2014 年, 全 省 各 级 团 组 织 广 大 青 年 集 体 深 入 贯 彻 落 实 党 的 十 八 届 三 中 四 中 全 会 精 神, 紧 紧 围 绕 中 心 工 作, 广 泛 开 展 青 年 文 明 号 创

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information