STM32 MCUBLDC

Size: px
Start display at page:

Download "STM32 MCUBLDC"

Transcription

1 配备嵌入式 STM32 MCU 的高级 BLDC 控制器 每个供电电源上都有 UVLO 保护 : V M V DD V REG 和 V BOOTx 通过 SWD 进行片上调试支持 扩展的温度范围 :-40 至 +125 C 应用 数据手册 - 生产数据 特性 工作电压范围为 8V 到 45V 三相栅极驱动器 600 ma 灌 / 拉 集成自举二极管 防交叉传导 32 位 ARM Cortex -M0 内核 : 时钟频率高达 48 MHz 4 K 字节 SRAM, 带硬件奇偶校验 32 KB Flash 存储器, 具有用于写 / 读保护的选项字节 3.3.V 直流 / 直流降压稳压器, 具有过电流 短路和热保护功能 具有热保护功能的 12 V LDO 线性稳压器 16 个通用 I/O 端口 (GPIO) 5 个通用定时器 12 位 ADC 转换器 ( 多达 9 个通道 ) I 2 C USART 和 SPI 接口 4 个用于信号调节的轨到轨运放 可编程阈值的过电流保护比较器 3FG 漏极开路输出, 提供 3 个霍尔传感器输入的解码结果 低功耗待机模式 厨房机器人 便携式真空吸尘器 干手器和空气净化器 无人机和航空模型 电动工具 工业和教育机器人 家电和空调风扇 2017 年 10 月 DocID Rev 1 [English Rev 2] 1/40 这是关于全面投产产品的信息

2 目录 目录 1 说明 框图 电气数据 绝对最大额定值 ESD 保护 建议工作条件 热数据 电气特性 引脚说明 器件说明 UVLO 和热保护 供电电压上的 UVLO 热保护 直流 / 直流降压稳压器 外部可选 3.3 V 供电电压 线性稳压器 待机模式 栅极驱动器 微控制器单元 存储器和自举模式 电源管理 高速外部时钟源 高级控制定时器 (TIM1) 测试模式 运放 比较器 FG_PA7 输出功能 ESD 保护策略 /40 DocID Rev 1 [English Rev 2]

3 目录 7 应用程序示例 封装信息 VFQFPN48 7 x 7 封装信息 订购信息 版本历史 DocID Rev 1 [English Rev 2] 3/40 40

4 说明 1 说明 是提供集成解决方案的系统封装, 适用于使用不同驱动模式驱动三相 BLDC 电机 其嵌入了三半桥栅极驱动器, 可以提供 600mA 电流 ( 灌电流和拉电流 ), 驱动 MOSFET 或 IGBT 由于集成的互锁功能, 同一半桥的高侧和低侧开关不能同时被驱动到高电平 内部直流 / 直流降压转换器提供 3.3 V 电压, 适合为 MCU 和外部元件供电 内部 LDO 线性稳压器为栅极驱动器提供供电电压 集成的运放可用于模拟霍尔效应传感器和分流电阻信号的信号调节 通过集成具有可编程阈值的比较器来执行过电流保护 集成的 MCU( 具有扩展温度范围的 STM32F031C6, 后缀为 7 版本 ) 可以执行磁场定向控制 6 步无传感器和其他高级驱动算法, 包括速度控制环 其具有写保护和读保护功能, 可防止嵌入式 Flash 存储器不必要的写入和 / 或读取 器件还具有过温保护和欠压锁定保护功能, 可以进入待机模式, 降低功耗 该器件提供 16 个能耐 5 V 电压的通用 I/O 端口 (GPIO) 一个多达 9 个通道的 12 位模数转换器, 在单次捕捉或扫描模式下执行转换 5 个通用同步定时器并支持易于使用的调试串行接口 (SWD) 4/40 DocID Rev 1 [English Rev 2]

5 框图 2 框图 图 1. 系统封装框图 HS VREG12 LS HS VREG12 VREG12 VREG12 LS VREG12 HS VREG12 LS VBOOTU HSU OUTU LSU VBOOTV HSV OUTV LSV VBOOTW HSW OUTW LSW VREG 12V Control logic gate driver VREG12 COMP ADJ REF OC Comp VM 12 V VREG 2 6 OPAMP OP1P OP1N OP1O SW VM PA13_SWD_IO VM to VDDA 3.3 V Control DC/DC buck conv. OC comp threshold select OC_SEL 3FG Hall decoding logic COMP VREF COMP VREF COM P VREF 3FG_HiZ OPAMP OPAMP OPAMP OP2P OP2N OP2O OP3P OP3N OP3O OP4P OP4N OP4O 3FG_PA7 3.3 V GND Connected to EPAD PA14_SWD_CLK PB6 PB7 VDD PA14 PA15 PB3 PB4 PB5 PB6 PB7 BOOT0 PB8 PB9 VSS VDD VBAT PF7 PF6 PA13 PA12 PA11 PA10 PC13 PC14 PC15 PF0 PF1 STM32 NRST VSSA PA9 PA8 PB15 PB14 PB13 PB12 VDDA PA0 PA1 PA2 PA3 VDD VSS PB11 PB10 PB2 PB1 PB0 PA7 PA6 PA5 PA4 TESTMODE PB1 PA6 PA5 PA4 PF0 PF1 NRST VDDA PA0 PA1 PA2 PA3 AM039972V1 DocID Rev 1 [English Rev 2] 5/40 40

6 框图 图 2. 模拟 IC 框图 VDD_3V3 VBOOTU HSU OUTU OC_TH_STBY1 OC_TH_STBY2 SWDIO_INT 3FG_HIZ OC_SEL HS3 HS2 HS1 LS3 LS2 LS1 OC_COMP_INT LSU VBOOTV HSV OUTV LSV VDD TEST MODE GND VBOOTW HSW OUTW LSW 3FG _ PA7 OP4O OP4N OP4P OP3O OP3N OP3P OP2O OP2N OP2P OP1O OP1N OP1P OC Comp PA13_SWD_IO VM SW VREG V VM VM 12 V VREG VREG12 VREG12 Control DC/DC buck conv. HS 12 V OC comp. threshold select OC_SEL 3FG Hall decoding logic 6 2 Control logic gate driver VREG12 LS HS 12 V LS 3FG_HiZ 3.3 V COMP VR EF OPAMP COMP VR EF OPAM P COMP VR EF OPAMP OPAMP COM P ADJ REF VREG12 HS 12 V LS AM /40 DocID Rev 1 [English Rev 2]

7 电气数据 3 电气数据 3.1 绝对最大额定值 如果加在器件上的载荷超过表 1 中列出的绝对最大额定值, 则可能导致器件永久损坏 长期工作在最大额定值条件下可能会影响器件的可靠性 表 1. 绝对最大额定值 符号参数测试条件值单位 V M 电源供电电压 至 48 V V VREG12 短接至 REG12 线性稳压器输出和栅极驱动器供电电压 15 V VM V OPP 运放正输入电压 至 V DD +0.2 V V OPN 运放负输入电压 至 V DD +0.2 V V CP 比较器输入电压 - -2 至 2 V V 3FG 3FG 输出电压 至 V DD +0.3 V I 3FG 3FG 输出灌电流 - 8 ma V HS 高侧栅极输出电压 - V OUT 至 V BOOT V V LS 低侧栅极输出电压 至 V REG V V BOOT 限幅电压 - 最大值 (V OUT 或 -0.3) 至最小值 ('V OUT + V REG ' 或 60) V V OUT 输出电压 (OUTU OUTV OUTW) - -2 至 V M + 2 V dv OUT /dt 输出转换速率 - ± 10 V/ns V IO MCU 逻辑输入电压 TTa 类型 -0.3 至 4 V 逻辑输入电压 (1) FT FTf 类型 -0.3 至 V DD + 4 (2) V I IO MCU I/O 输出电流 (1) -25 至 25 ma SI IO MCU I/O 总输出电流 (1), (3) -80 至 80 ma V DD MCU 数字供电电压 (1) -0.3 至 4 V V DDA MCU 模拟供电电压 (1) -0.3 至 4 V T stg 存储温度 至 150 C T j 工作结温范围 至 150 C 1. 若需更多信息, 请参见表 15 STM32F031C6 数据手册中的电压特性 ( 后缀为 7 版本 ) 2. 只有禁用内部上拉 / 下拉电阻时才有效 如果启用了内部上拉或下拉电阻, 最大限制为 4 V 3. 如果 MCU 供电电压由集成的直流 / 直流稳压器提供, 则该应用电流消耗受限于 I DDA,max 值 ( 请参见表 5) DocID Rev 1 [English Rev 2] 7/40 40

8 电气数据 3.2 ESD 保护 表 2. ESD 保护额定值 符号参数测试条件分类值 单位 HBM 人体模型 符合 ANSI/ESDA/JEDEC JS H2 2 kv CDM 充电设备模型 符合 ANSI/ESDA/JEDEC JS C2 750 V 3.3 建议工作条件 表 3. 建议工作条件 最小符号参数测试条件值 V M 电源供电电压 - 8 (1) 1. UVLO 阈值 V Mon_max 2. V BO = V BOOT - V OUT. 3. 请参见 STM32F031C6 数据手册 ( 后缀为 7 版本 ) 典型值最大值单位 - 45 V dv M /dt 电源供电电压斜率 V M = 45 V V/µs V DDA 直流 / 直流稳压器输出电压 V L SW 输出电感 µh C DDA 输出能力 µf ESR DDA 输出电容 ESR mω V 线性稳压器输出和栅极驱动器供电电 13 < V M < 45 V REG12 V 压短接至 VM 8 (1) - 15 C REG 负载电容 µf ESR REG ESR 负载电容 W V BO (2) 浮动供电电压 - - V REG V V CP 比较器输入电压 V T j 工作结温范围 模拟 IC C MCU (3) C 3.4 热工数据 (1) 表 4. 热工数据 符号参数值单位 R th (JA) 热阻 ( 结到环境 ) 45.6 C/W 1. 通过仿真以下边界条件进行计算 2s2p 板符合自然对流的 std.jedec (JESD51-7) 标准 板子尺寸 :114.3 x 76.2 x 1.6 mm 环境温度 :25 C 8/40 DocID Rev 1 [English Rev 2]

9 电气特性 4 电气特性 除非另有说明, 否则测试条件为 :V M = 15 V;V DD = 3.3 V 典型值在 T j = 25 C 下进行测试, 除非另有说明, 否则在 -40 至 125 C 的温度范围内通过热特性来保证最小值和最大值 表 5. 电气特性 符号参数测试条件 供电电源和待机模式 最小值 典型值最大值单位 I M V M 电流消耗 V M = 45 V;V DD = 3.5 V, 外部供电待机 PF7 = '0' PF6 = '0 V M = 45 V;V DD = 3.5 V, 外部供电 ma ma V MOn V CCL UVLO 导通阈值 V M 从 0 V 开始升高 V V MOff V M UVLO 关断阈值 V M 从 8 V 开始下降 V V MHys V M UVLO 阈值滞回电压 V I DD V DD 电流消耗 V DD = 3.5 V, 外部供电 (1) 待机 PF7 = '0' PF6 = '0' (1) V DD = 3.5 V, 外部供电 V DD = 3.5 V, 外部供电 (1) I DDA V DDA 电流消耗待机 PF7 = '0' PF6 = '0' µa (1) V DD = 3.5 V, 外部供电 V DDOn V DD UVLO 导通阈值 V DD 从 0 V 开始升高 V V DDOff V DD UVLO 关断阈值 V DD 从 3.3 V 开始下降 V V DDHys V DD UVLO 阈值滞回电压 V ma I REG12 V REG 电流消耗 V REG = 13 V, 外部供电,V M = 45 V; 未换向 µa 待机 PF7 = '0' PF6 = '0' V REG = 13 V, 外部供电 V REG12On V REG12 UVLO 导通阈值 V REG12 从 0 V 开始升高 V V REG12Off V REG12 UVLO 关断阈值 V REG12 从 8 V 开始下降 V V REG12Hys V REG12 UVLO 阈值滞回电压 V I BOOT V BO 电流消耗 V BO 上的 HS = 13 V µa V BOOn V BO UVLO 导通阈值 V BO 从 0 V 开始升高 V V BOOff V BO UVLO 关断阈值 V BO 从 8 V 开始下降 V V BOHys V BO UVLO 阈值滞回电压 V t sleep 待机设定时间 µs DocID Rev 1 [English Rev 2] 9/40 40

10 电气特性 DC/DC 开关调节器 表 5. 电气特性 ( 续 ) 符号参数测试条件 最小值 典型值最大值单位 V M 输入供电电压 - 8 (2) - 45 V V PWR_OK 供电电压正常 V V DDA 平均输出电压 (3) V I DDA 输出电流 DC; 含 MCU 电流消耗 ma 开路,V f SW 最大 SW 开关频率 DDA 浮动 khz I SW = 100 ma R SWDS(ON) 导通电阻 I SW = 200 ma Ω h 效率 V M = 8 V;I DDA = I DDA,max (3) % I SW,peak 峰值电流阈值 ma I OVC 锁断的过电流阈值 A t SS 软启动时间 ms 线性稳压器 V REG12 线性稳压器输出和栅极驱动器供电电压 V M = V I O = 10 ma (4) V V REG12,drop 压降 V M = 8 11 V, I O = 10 ma mv I REG12,lim 线性稳压器电流限制 V M = 13 V ma 栅极驱动器 I SI T J = 25 C ma 最大灌电流 / 拉电流能力 I SO 全温度范围 ma R PDin 输入线下拉电阻 kω t on t off 输入到输出传播时延 (5) ns MT 延时匹配,HS 和 LS 接通 / 关断 (6) ns R DS_diode 自举二极管导通电阻 Ω 运算放大器 V OPio V icm 输入共模电压范围 V DD V V OPio 输入偏移电压 V out = 1.65;T j = 25 C mv V out = 1.65; 全温度范围 mv I OPio 输入偏移电流 V out = 1.65 (7) pa I OPib 输入偏置电流 (7) pa CMRR 共模抑制比 0 至 3.3 V;V out = 1.65 V db A OL 开环增益 R L = 10 kω;v out = db 10/40 DocID Rev 1 [English Rev 2]

11 电气特性 表 5. 电气特性 ( 续 ) 符号参数测试条件 最小值 典型值最大值单位 V DD - V OH 高电平输出电压 R L = 10 kω (8) mv V OL 低电平输出电压 R P = 4.7 kω mv I OUT GBP 输出灌电流 输出拉电流 增益带宽产品 V out = 3.3 V;T j = 25 C ma V out = 3.3 V; 全温度范围 V out = 0 V;T j = 25 C ma V out = 0 V; 全温度范围 R L = 2 kω;c L = 100 pf V out = MHz 增益 稳定性的最小增益 相位裕度 = V < V out < V DD V/V SR 电压转换率 R L = 2 kω;c L = 100 pf V in 1 至 2 V 步进 V/µs OC 比较器 OC th 过电流阈值 PF6 = '0' PF7 = '1' mv PF6 = '1' PF7 =' 0' mv PF6 = '1' PF7 = '1' mv t CPD 比较器传播时延 OC th = 0.5 V; OC_Comp: 电压从 0 步进至 1 V ns t OCdeglitch t OCrelease 3FG 电路 比较器输入消隐滤波器时间 最小过电流锁断释放脉冲宽度 (9) ns (9) ns V REF- 3FG 比较器参考电压 V DD = 3.3 V 1.55 V DD / V t 3FGD 3FG 比较器传播时延 PA12 = '1' (10) ns V 3FGL 低电平 3FG 输出 I sink = 8 ma V 热保护 T SD 热关机温度 C T hys 热关机滞回电压 C 1. 电流消耗取决于微控制器中加载的固件 2. UVLO 阈值 V Mon_max 3. 使用 47 mf 电容 (APXG250ARA470MF61G) 22 mh 电感 (MLF1608C220KTA00) 和二极管 1N4448TR 4. 使用 11 < V M < 13 V 时, 线性输出电压可以为 VREG12 或 VM-VREG12, 下降, 这取决于线性稳压器是否已接通或关断 5. 图 3 6. MT = 最大值 ( t on(lvg) - t off(lvg), t on(hvg) - t off(hvg), t off(lvg) - t on(hvg), t off(hvg) - t on(lvg) ). 7. 设计保证 8. I OUT 测试保证 DocID Rev 1 [English Rev 2] 11/40 40

12 电气特性 9. 请参见图 16 第 31 页 10. 3FG 电路启用 参数在有源漏极开路边沿 ( 下降沿 ) 上测量 图 3. 栅极驱动器时间 LS1 (2) (3) HS1 (2) (3) 50% 50% 90% LSU (V) (W) HSU (V) (W) 10% t on t off AM /40 DocID Rev 1 [English Rev 2]

13 引脚说明 5 引脚说明 图 4. SiP 引脚连接 ( 顶视图 ) OP4N 2 EPAD 35 OP4O NRST PA PA2 PA3 PA4 PA5 PA6 3FG_PA7 PB1 TESTMODE OP1O OP1N OP1P OC_Comp VDD OP3O OP3N OP3P GND OP2O OP2N OP2P PB7 PB6 PA14_SWD_CLK PA13_SWD_IO OP4P LSU VBOOTU OUTU PF0 HSU PF1 LSV VREG12 VBOOTV OUTV VM HSV SW LSW VDDA VBOOTW PA0 OUTW HSW AM 表 6. SiP 引脚说明 (1) 不允许 名称类型功能 1 OP4P 模拟输入 运放 4 非反相输入 2 OP4N 模拟输入 运放 4 反相输入 3 OP4O 模拟输出 运放 4 输出 4 PF0 数字输入 MCU PF0 5 PF1 数字输入 MCU PF1 6 VREG12 电源 12 V 线性稳压器输出 DocID Rev 1 [English Rev 2] 13/40 40

14 引脚说明 表 6. SiP 引脚说明 ( 续 ) (1) 不允许 名称类型功能 7 NRST 数字输入 MCU 复位引脚 8 VM 电源 电源供电电压 ( 总线电压 ) 9 SW 模拟输出 3.3 V 直流 / 直流降压稳压器开关节点 10 VDDA 电源 MCU 模拟电源供电电压 11 PA0 模拟输入 MCU PA0 12 PA1 模拟输入 MCU PA1 13 PA2 模拟输入 MCU PA2 14 PA3 模拟输入 MCU PA3 15 PA4 模拟输入 MCU PA4 16 PA5 模拟输入 MCU PA5 17 PA6 数字输入 MCU PA6 18 3FG_PA7 数字 I/O 3FG 漏极开路输出或 MCU PA7 19 PB1 模拟输入 MCU PB1 20 TESTMODE 数字输入 测试模式输入 21 OP1O 模拟输出 运放 1 输出 22 OP1N 模拟输入 运放 1 反相输入 23 OP1P 模拟输入 运放 1 非反相输入 24 OC_Comp 模拟输入 过电流比较器输入 25 HSW 模拟输出 W 相高侧驱动器输出 26 OUTW 电源 W 相高侧 ( 浮动 ) 通用电压 27 VBOOTW 电源 W 相自举供电电压 28 LSW 模拟输出 W 相低侧驱动器输出 29 HSV 模拟输出 V 相高侧驱动器输出 30 OUTV 电源 V 相高侧 ( 浮动 ) 通用电压 31 VBOOTV 电源 V 相自举供电电压 32 LSV 模拟输出 V 相低侧驱动器输出 33 HSU 模拟输出 U 相高侧驱动器输出 34 OUTU 电源 U 相高侧 ( 浮动 ) 通用电压 35 VBOOTU 电源 U 相自举供电电压 36 LSU 模拟输出 U 相低侧驱动器输出 37 PA13_SWD_IO 数字 I/O MCU PA13/SWDIO( 通过模拟 IC 进行系统调试数据 ) 38 PA14_SWD_CLK 数字 I/O MCU PA14/SWDCLK( 系统调试时钟 ) 39 PB6 数字 I/O MCU PB6 40 PB7 数字输入 MCU PB7 14/40 DocID Rev 1 [English Rev 2]

15 引脚说明 表 6. SiP 引脚说明 ( 续 ) (1) 不允许 名称类型功能 41 OP2P 模拟输入 运放 2 非反相输入 42 OP2N 模拟输入 运放 2 反相输入 43 OP2O 模拟输出 运放 2 输出 44 GND 电源 接地 45 OP3P 模拟输入 运放 3 非反相输入 46 OP3N 模拟输入 运放 3 反相输入 47 OP3O 模拟输出 运放 3 输出 48 VDD 电源 MCU 数字电源 EPAD 电源 内部接地 1. 请参考表 7 中的 MCU 引脚函数 表 7. MCU 焊盘映射 MCU 焊盘类型模拟 IC 焊盘可选和附加功能 PF0 I/O - FT - OSC_IN PF1 I/O - FT - OSC_OUT NRST I/O - RST - VDDA S VDD_3V3 模拟电源供电电压 PA0 I/O - TTa - PA1 I/O - TTa - PA2 I/O - TTa - PA3 I/O - TTa - PA4 I/O - TTa - PA5 I/O - TTa - PA6 I/O - TTa - PB1 I/O - TTa - 器件复位输入 / 内部复位输出 ( 低电平有效 ) TIM2_CH1_ETR, USART1_CTS ADC_IN0, RTC_TAMP2, WKUP1 TIM2_CH2, EVENTOUT, USART1_RTS ADC_IN1 TIM2_CH3, USART1_TX ADC_IN2 TIM2_CH4, USART1_RX ADC_IN3 SPI1_NSS, I2S1_WS, TIM14_CH1, USART1_CK ADC_IN4 SPI1_SCK, I2S1_CK, TIM2_CH1_ETR ADC_IN5 SPI1_MISO, I2S1_MCK, TIM3_CH1, TIM1_BKIN, TIM16_CH1, EVENTOUT ADC_IN6 TIM3_CH4, TIM14_CH1, TIM1_CH3N ADC_IN9 DocID Rev 1 [English Rev 2] 15/40 40

16 引脚说明 表 7. MCU 焊盘映射 ( 续 ) MCU 焊盘类型模拟 IC 焊盘可选和附加功能 PA7 I/O - TTa 3FGOUT SPI1_MOSI, I2S1_SD, TIM3_CH2, TIM14_CH1, TIM1_CH1N, TIM17_CH1, EVENTOUT ADC_IN7 PB12 I/O - FT OC_COMP_INT TIM1_BKIN (1) PB13 I/O - FT LS1 TIM1_CH1N (1) PB14 I/O - FT LS2 TIM1_CH2N (1) PB15 I/O - FT LS3 TIM1_CH3N (1) PA8 I/O - FT HS1 TIM1_CH1 (1) PA9 I/O - FTf HS2 TIM1_CH2 (1) PA10 I/O - FTf HS3 TIM1_CH3 PA11 I/O - FT OC_SEL (1) 推挽输出 PA12 I/O - FT 3FG_HIZ 推挽输出 (1) PA13_SWD_IO I/O - FT SWDIO_INT IR_OUT, SWDIO PF6 I/O - FTf OC_TH_STBY2 推挽输出 (1) PF7 I/O - FTf OC_TH_STBY1 推挽输出 (1) PA14_SWD_CLK I/O - FT - USART1_TX, SWCLK PB6 I/O - FTf - I2C1_SCL, USART1_TX, TIM16_CH1N PB7 I/O - FTf - I2C1_SDA, USART1_RX, TIM17_CH1N VBAT, VDD S VDD 备份和数字电源 VSS, VSSA S - 接地 BOOT0 I - 加载程序存储器选择 ( 内部接地 ) PC13, PC14, PC15, PB0, PB2, PB10, PB11, PA15, PB3, PB4, PB5, PB8, PB9 - - 未连接 1. 模拟 IC 仅支持这些 GPIO 配置 不同的配置可能会导致器件故障 可以使用没有上拉或下拉的 GPIO 输入配置 注 : SiP 中每个未使用的 GPIO 都应在软件启动后配置为 OUTPUT 模式低电平 16/40 DocID Rev 1 [English Rev 2]

17 引脚说明 表 8. 模拟 IC 焊盘说明 引脚排列名称 焊盘名称 类型 功能 PA13_SWD_IO SYS_SWDIO 数字 I/O 系统调试数据 ( 通过模拟 IC 连接至输出 ) VDDA VDD_3V3 电源 3.3 V 直流 / 直流降压稳压器电压输出 VM VM 电源 电源供电电压 ( 总线电压 ) SW SW 模拟输出 3.3 V 直流 / 直流降压稳压器开关节点 VREG12 VREG12 电源 12 V 线性稳压器输出 VBOOTU VBOOTU 电源 U 相自举供电电压 HSU HSU 模拟输出 U 相高侧驱动器输出 OUTU OUTU 电源 U 相高侧 ( 浮动 ) 通用电压 LSU LSU 模拟输出 U 相低侧驱动器输出 VBOOTV VBOOTV 电源 V 相自举供电电压 HSV HSV 模拟输出 V 相高侧驱动器输出 OUTV OUTV 电源 V 相高侧 ( 浮动 ) 通用电压 LSV LSV 模拟输出 V 相低侧驱动器输出 VBOOTW VBOOTW 电源 W 相自举供电电压 HSW HSW 模拟输出 W 相高侧驱动器输出 OUTW OUTW 电源 W 相高侧 ( 浮动 ) 通用电压 LSW LSW 模拟输出 W 相低侧驱动器输出 OC_Comp OC_COMP 模拟输入 过电流比较器输入 OP1P OP1P 模拟输出 运放 1 输出 OP1N OP1N 模拟输入 运放 1 反相输入 OP1O OP1O 模拟输入 运放 1 非反相输入 OP2P OP2P 模拟输出 运放 2 输出 OP2N OP2N 模拟输入 运放 2 反相输入 OP2O OP2O 模拟输入 运放 2 非反相输入 OP3P OP3P 模拟输出 运放 3 输出 OP3N OP3N 模拟输入 运放 3 反相输入 OP3O OP3O 模拟输入 运放 3 非反相输入 OP4P OP4P 模拟输出 运放 4 输出 OP4N OP4N 模拟输入 运放 4 反相输入 OP4O OP4O 模拟输入 运放 4 非反相输入 3FG_PA7 3FGOUT 数字输出 3FG 输出 ( 漏极开路 ) GND GND 电源 接地 TESTMODE TESTMODE 数字输入 测试模式输入 - VDD 电源 MCU 数字电源 - OC_COMP_INT 数字输出 OC 比较器输出 DocID Rev 1 [English Rev 2] 17/40 40

18 引脚说明 表 8. 模拟 IC 焊盘说明 ( 续 ) 引脚排列名称 焊盘名称 类型 功能 - HS1 数字输入 高侧输入驱动器 U - HS2 数字输入 高侧输入驱动器 V - HS3 数字输入 高侧输入驱动器 W - LS1 数字输入 低侧输入驱动器 U - LS2 数字输入 低侧输入驱动器 V - LS3 数字输入 低侧输入驱动器 W - OC_SEL 数字输入 OC 保护选择 - 3FG_HIZ 数字输入 3FG 输出使能 - SWD_IO_INT 数字输入 系统调试数据 ( 通过模拟 IC 连接至输出 ) - OC_TH_STBY1 数字输入 过电流阈值选择和待机输入 1 - OC_TH_STBY2 数字输入 过电流阈值选择和待机输入 2 18/40 DocID Rev 1 [English Rev 2]

19 器件说明 6 器件说明 是提供集成解决方案的系统封装, 适用于使用霍尔效应传感器驱动三相 BLDC 电机 该器件将使用 BCD8s (0.18 µm) 技术进行开发 6.1 UVLO 和热保护 表 9 总结了 UVLO 和 OT 保护管理 表 9. UVLO 和 OT 保护管理 块 V M UVLO V DD UVLO V REG12 UVLO V BOOT UVLO 线性稳压器 OT 直流 / 直流稳压器 OT 直流 / 直流稳压器 OFF 线性稳压器 OFF OFF - - OFF - 运放和 OC COMP OFF OFF HSU HSV HSW 输出 低 低 (1) 低 低 (1), (2) - - LSU LSV LSW 输出 低 低 低 (1) 栅极驱动器的 N 通道接通所有可用供电电压, 参考图 5 2. 只检测到 UVLO 条件下的高侧栅极驱动器 ( 例如,VBOOTU 上的 UVLO 导致 HSU 关断 ) I LVG/HVG (ma) 图 5. UVLO 条件下的栅极驱动器输出特性 V CC = 6 to 12 V V CC = 4 V V CC = 3 V V CC = 0 V V CC = 1 V V CC = 2 V V CC = V REG for LS rails V CC = V BOOT - V OUT for HS rails V LVG/HVG (V) AM DocID Rev 1 [English Rev 2] 19/40 40

20 器件说明 供电电压上的 UVLO 器件可在所有电源上提供 UVLO 保护 电源供电电压低于关断阈值电压时, 器件进入欠压状态, 并在电机供电电压超过接通阈值电压时终止 表 9 显示了 UVLO 保护管理 : 在 UVLO 事件之后, 哪些模块被关闭 图 6. 上电和掉电序列 VMOn 13 V typ. PWR_OK PWR_OK V M V DD VDDOn tss The DC/DC Reg stops to work The actual VDD voltage falls to 0 V discharging the output capacitance The Lin Reg stops to work The actual VREG voltage falls to 0 V discharging the output capacitance V REG AM 热保护 该器件嵌入了过温关断保护 热传感器放置在直流 / 直流和线性稳压器模块旁 OT 保护触发时, 相应的模块被关断, 只有当温度低于 T SD - T hys 温度 ( 自动重启 )) 时, 热关断状态才会终止 表 9 显示了热保护管理 : 在过温事件之后, 哪些模块被关闭 6.2 直流 / 直流降压稳压器 内部直流 / 直流降压转换器提供 3.3 V 供电电压, 适合为 MCU 和其他外部元件 ( 如霍尔效应传感器 ) 供电 稳压器在非连续电流模式 (DCM) 下工作 实现了具有固定启动时间的软启动功能, 从而最小化启动时的浪涌电流, 请参考图 8 提供了过电流和短路保护 20/40 DocID Rev 1 [English Rev 2]

21 器件说明 如果在 SW 引脚上发生故障, 并达到 I OVC 阈值, 则稳压器被锁断 要重启直流 / 直流稳压器, 器件供电电压 (V M ) 必须循环掉电和上电 如果稳压器输出 (VDDA 引脚 ) 发生故障, 并且电压低于 UVLO 阈值 (V DDOff ), 则稳压器会使用新的软启动序列重启, 直到 OC 状态被消除 在这种情况下, 线圈中的电流受 I SW.peak 限制 如第 节所述, 直流 / 直流稳压器嵌入了热保护 图 7. 直流 / 直流降压稳压器拓扑 to MCU and Hall- effect sensors C VDDA L SW VM VDDA SW VM 3.3 V VM Control DC/DC buck conv. AM 图 8. 软启动时间 VDDA [V] t [ms] t SS AM DocID Rev 1 [English Rev 2] 21/40 40

22 器件说明 外部可选 3.3 V 供电电压 注 : 可以直接在 VDDA 引脚上向外部提供 3.3 V 供电电压 在这种情况下, 可以有两种配置 : 1. SW 引脚悬空或短接至 VM: 在这种情况下, 直流 / 直流转换器的内部电源开关根据内部时钟继续打开 / 关闭 2. SW 引脚短接至 GND: 在这种情况下, 内部电源开关检测到短路并被锁断 如果 VM < VDD, 不可以在外部应用 VDD 电压 6.3 线性稳压器 内部 12 V 线性稳压器是为栅极驱动器部分提供供电电压的 LDO 稳压器 外部电容需要连接到 VREG12 引脚 图 9. 线性稳压器框图 VREG12 C REG VM 12 V LIN regulator VREG12 AM 当 VM 电压低于 12 V 时,VM 引脚和线性稳压器输出可以一起短接, 从外部向栅极驱动器供电 如第 节所述, 线性稳压器嵌入了热保护 22/40 DocID Rev 1 [English Rev 2]

23 器件说明 图 10. 线性稳压器输出特性 VM [V] VREG12 [V] t 12 t AM 注 : 线性稳压器仅用于为内部电路供电, 不得用于外部元件 6.4 待机模式 器件会被强制进入待机模式, 将 OC_TH_STBY1 和 OC_TH_STBY2 模拟 IC 输入处于低电平, 从而降低功耗 ( 请参见表 12) 设置待机模式时, 模拟 IC 在 tsleep 时间后进入低功耗模式, 并且 : 线性稳压器关闭 所有输出驱动器强制处于低水平 ( 外部电源开关关断 ) 运放和比较器禁用 直流 / 直流稳压器仍工作 器件退出待机模式时, 需要设定时间才能恢复 12 V 内部稳压器的正确值 该设定时间严格取决于连接在 VREG12 引脚上的电容, 可以用公式 1 计算 DocID Rev 1 [English Rev 2] 23/40 40

24 器件说明 图 11. 待机到正常 运行时间 (C REG = 1 µf) 公式 1 C t REG V REG12 REG = I REG12, lim 6.5 栅极驱动器 注 : 器件集成了三相半桥栅极驱动器, 可以驱动 N 通道功率 MOSFET 或 IGBT 高侧部分由集成式自举二极管的自举电压技术供电 所有输入线 ( 参考图 2: 模拟 IC 框图第 6 页 ) 都连接到下拉电阻 (60 kw 典型值 ), 以保证器件启动期间的低逻辑电平 由于集成的互锁功能, 同一半桥的高侧和低侧输出不能同时被驱动到高侧 模拟 IC 的所有输入线都具有内部下拉功能, 以保证器件启动期间和 MCU 线不存在时的低逻辑电平 24/40 DocID Rev 1 [English Rev 2]

25 器件说明 6.6 微控制器单元 集成的 MCU 是 STM32F031C6, 它具有以下特点 : 内核 :ARM 32 位 Cortex -M0 CPU, 频率高达 48 MHz 存储器 :4kB SRAM,32 kb Flash 存储器 CRC 计算单元 多达 16 个快速 I/O 专用于 PWM 生成的高级控制定时器 多达 5 个通用定时器 12 位 ADC( 多达 9 个通道 ) 通信接口 :I 2 C USART SPI 串行调试线 (SWD) 扩展的温度范围 :-40 至 125 C 更多详细内容, 请参考 上的 STM32F031C6 数据手册 存储器和启动模式 该器件具有以下特性 : 4 K 字节的嵌入式 SRAM 能以 CPU 时钟速度 0 等待状态访问 ( 读 / 写 ), 具有检测异常产生的嵌入式奇偶校验, 可用于对程序失效要求要求严格的应用 非易失性存储器分为两个阵列 : 32 K 字节的嵌入式 Flash 存储器, 用于程序和数据 选项字节选项字节结合以下选项可对存储器写保护 ( 以 4K 为单元 ) 和 / 或对整个存储器读保护, 选项如下 : 级别 0: 不可读取保护 级别 1: 除了调试模式被连接或选择了 RAM 的 boot 模式,Flash 存储器不允许其它方式读取或写入 级别 2: 芯片读保护, 并且禁用调试模式 (Cortex-M0 串行 线 ) 和 RAM 的 boot 模式 在启动时, 由于 Boot0 脚 MCU 内部连接到地, 启动时,boot 模式从主 flash 存储器启动 ( 请参见表 7 第 15 页 ) 主 flash 存储器可映射到 boot 存储器 (0x ), 但也可从它原来的存储器空间 (0x ) 访问 换句话说,Flash 存储器内容可从地址 0x 或 0x 开始访问 嵌入式 boot 引导程序在系统存储器中, 由 ST 在生产阶段编程 DocID Rev 1 [English Rev 2] 25/40 40

26 器件说明 电源管理 VDD 引脚是 I/O 和内部稳压器的电源 VDDA 引脚是 ADC 复位模块 RC 和 PLL 的电源 V DDA 电压可以通过内部直流 / 直流降压转换器产生, 否则, 也可以直接用外部电压给到 VDDA 注 : VDDA 电平必须一直大于等于 VDD 电平, 且必须首先提供 本 MCU 内部集成有上电复位 (POR)/ 掉电复位 (PDR) 电路 这些电路始终处于活动状态, 可确保在高于 2 V 阈值时正常工作 当监控的供电电压低于规定阈值时, 器件保持复位模式 POR 仅监测 V DD 供电电压 在启动阶段,V DDA 必须先到达, 且大于等于 V DD PDR 监控 V DD 和 V DDA 供电电压, 但是, 如果应用设计可确保 V DDA 大于等于 V DD, 则可禁用 V DDA 供电监控 ( 方法是编程一个专用选项位 ), 以降低功耗 该器件还有一个嵌入式可编程电压检测器 (PVD), 用于监视 V DD 电源并将其与 V PVD 阈值进行比较 当 V DD 低于 V PVD 阈值和 / 或 V DD 高于 V PVD 阈值时, 将产生中断 随后, 中断服务程序会生成一条警告消息并且 / 或者使 MCU 进入安全状态 PVD 由软件使能 MCU 支持三种低功耗模式, 可在低功耗 短启动时间和可用唤醒源之间取得最佳平衡 : 睡眠模式在睡眠模式下, 只有 CPU 停止工作 所有外设继续运行并可在发生中断 / 事件时唤醒 CPU 停止模式停止模式下可以实现极低功耗, 同时保持 SRAM 和寄存器的内容 此时,1.8 V 域中的所有时钟都会停止,PLL HSI RC 和 HSE 晶振也被禁止 还可以将稳压器置于正常模式或低功耗模式 该器件可由任何 EXTI 线 (16 根外部线之一 PVD 输出 RTC I 2 C1 或 USART1) 从停机模式唤醒 待机模式待机模式下可达到最低功耗 此时, 内部稳压器关闭, 因此整个 1.8 V 域将断电 PLL HSI RC 和 HSE 晶振也会关闭 进入待机模式后, 除 RTC 域和待机电路中的寄存器外, SRAM 和寄存器的内容都将消失 发生外部复位 (NRST 引脚 ) IWDG 复位 WKUP 引脚上出现上升沿或者触发 RTC 事件时, 器件退出待机模式 26/40 DocID Rev 1 [English Rev 2]

27 器件说明 高速外部时钟源 高速外部 (HSE) 时钟可以使用外部时钟信号或 4 到 32 MHz 的晶振 / 陶瓷谐振振荡器产生 ( 参见图 13) 外部时钟信号必须考虑 I/O 特性并遵循建议的时钟输入波形 ( 参考图 12) 图 12. HSE 时钟源时序图 AM 图 13. 采用 8 MHz 晶振的典型应用 AM 在应用中, 谐振器和负载电容必须尽可能地靠近振荡器的引脚, 以尽量减小输出失真和起振稳定时间 R EXT 的值取决于晶振特性 ( 更多详细内容, 请咨询晶振谐振器制造商 ) DocID Rev 1 [English Rev 2] 27/40 40

28 器件说明 高级控制定时器 (TIM1) 高级控制定时器 (TIM1) 用于 6 通道三相 PWM 控制 具有可插入死区时间的互补 PWM 输出 如表 10 所示, 该定时器用于产生三个半桥栅极驱动器的 PWM 信号 表 10. TIM1 通道配置 MCU I/O 模拟 IC 输入 TIM1 通道 PB13 LS1 TIM1_CH1N PB14 LS2 TIM1_CH2N PB15 LS3 TIM1_CH3N PA8 HS1 TIM1_CH1 PA9 HS2 TIM1_CH2 PA10 HS3 TIM1_CH3 6.7 测试模式 注 : 可用专用的引脚 TESTMODE 进入测试模式 在应用中,TESTMODE 引脚应短接至 GND, 以免意外进入测试模式 28/40 DocID Rev 1 [English Rev 2]

29 器件说明 6.8 运算放大器 该器件集成了四个轨到轨运放, 适用于信号调节, 尤其是用于模拟霍尔效应传感器解码和电流感应 运放提供轨到轨输出级, 可以在饱和状态下快速恢复 在线性应用中, 产生高振幅输入信号时, 会出现输出级饱和, 并使运放的输出超出其实际能力 图 14. 运算放大器 To input ADC INN INP OPxO OPxN OPxP OPAMP AM 比较器 比较器可用于实现过电流保护 OC Comp 引脚可以连接到分流电阻以监测负载电流, 内部 OC 阈值可以通过 MCU 进行设置 (PF6 和 PF7 端口, 请参见表 12) 触发 OC 事件时,OC 比较器输出将 OC 事件信号发送到 MCU (BKIN) 的 PB12 输入 根据 OC_SEL 信号的状态,( 参见表 11),OC 事件直接作用于栅极驱动器的控制逻辑, 通过关闭所有高侧栅极输出, 从而关闭外部高侧 Mosfet DocID Rev 1 [English Rev 2] 29/40 40

30 器件说明 图 15. 比较器 VM To PB12 of MCU and control logic COM P OC th OC R shunt AM OC_SEL (PA11) 表 11. OC 保护选择 功能 0 OC 比较器输出信号只对 MCU 可见 ( 默认 ) 1 OC 比较器输出信号对 MCU 可见, 并且还作用于栅极驱动器控制逻辑 表 12. OC 阈值 OC_TH_STBY2 (PF6) OC_TH_STBY1 (PF7) OC 阈值 [mv] 注释 0 0 N.A. 待机模式 ( 参见第 6.4 节第 23 页 ) 过电流状态消失时, 只有所有高侧输出都至少在 t OCrelease 时间保持低电平之后, 锁断的过电流信号才会被释放 ( 参考图 16) 30/40 DocID Rev 1 [English Rev 2]

31 器件说明 图 16. 驱动器逻辑过电流管理信号 < t OCdeglitch t CPD > t OCdeglitch t CPD When th e OC disapp ears, th e latched OC signal is released after th e first HS_input rising edge OC_COMP PB12 OC_blk_n (latched signal) > t OCrelease HS1 HS2 HS3 AM FG_PA7 输出功能 根据表 13,3FG_PA7 引脚含有不同的功能 表 13. 3FG_PA7 引脚函数 3FG 高 Z (PA12) 3FG_PA7 注释 0 PA7 默认 1 3FG MCU 引脚必须配置为上拉 选择 3FG 功能时,3FG_PA7 引脚用作漏极开路输出 ( 参见图 17) 3FG 信号由给到运放输入的霍尔传感器信号合成 运放的模拟输出通过内部比较器 (V ref = VDD/2) 转换为逻辑信号, 并提供出霍尔解码逻辑 3FG 产生的输出信号是三个霍尔传感器的异或功能 ( 参见表 14) DocID Rev 1 [English Rev 2] 31/40 40

32 器件说明 图 17. 3FG 电路 OPAM P2 OP2P OP2N OP2O 3FG Hall d ecoding logic COM P2 COM P3 COM P4 VREF VREF VREF OPAM P3 OPAM P4 OP3P OP3N OP3O OP4P OP4N OP4O 3FG _ PA7 3FG_HiZ 3FG_HIZ from PA12 of MCU to PA7 of MCU AM 表 14. 3FG 输出真值表 ( 参考图 17) COMP4 输出 COMP3 输出 COMP2 输出 3FG 引脚 (PA7) /40 DocID Rev 1 [English Rev 2]

33 器件说明 6.11 ESD 保护策略 图 18. ESD 保护策略 VDD (3.3 V) Digital I/ O Analog I/ O GND VM (45 VMAX.) REG Linear reg. POWER BOOTSTRAP DIODE ESD active clamp VBOOT POWER HVU/V/ W POWER POWER SW OUT ESD active clamp POWER LVU/V/ W POWER High- side driver (X3) ESD active clamp GND Low- side driver (X3) BELOW GND AM DocID Rev 1 [English Rev 2] 33/40 40

34 应用程序示例 7 应用程序示例 图 19 显示了使用 器件驱动具有单分流电阻和模拟霍尔效应传感器反馈的三相电机应用示例 实现的其他功能为 : 通过直流 / 直流稳压器在内部产生 VDD (3.3 V) 电源 通过 LDO 线性稳压器在内部产生 VREG12 (12 V) 电源 I 2 C 串行接口 (PB6 和 PB7) 串行调试线端口 (PA13_SWD_IO PA14_SWD_CLK) 准备就绪和报警线 (PF0 PF1) 复位专用引脚 使用内部比较器进行过电流保护 使用内部运放 ( 运放 1) 和 ADC (PA3) 进行电流检测 使用内部运放 比较器和霍尔解码逻辑电路 ( 运放 及相对应的比较器 ) 产生 3FG 使用内部运放和 ADC( 运放 及 PA0 PA1 PA2) 进行霍尔效应传感器反馈管理 使用内部 ADC (PA4) 进行总线电压补偿 使用内部 ADC (PB1) 进行温度监测 34/40 DocID Rev 1 [English Rev 2]

35 应用程序示例 图 19. 应用程序示例 CREG VBOOTU HSU OUTU LSU VBOOTV HSV OUTV LSV VBOOTW HSW OUTW LSW VBOOT CBOOT HS RGH_ON DH RGH_OFF OUT LS RGL_ON DL RGL_OFF 3x power half-bridge RLP CLP HS LS VM RS + CVM THREE-PHASE MOTOR M Sensors feedback (6 lines) to op amps inputs VREG12 OC Comp RCS5 VDD L1 CVDDA VM SW SWDIO PA13 SWDCLK PA14 VDD RPU2 VM OP1P OP1N OP1O OP2P OP2N OP2O OP3P OP3N OP3O OP4P OP4N OP4O 3FG_PA7 GND FG output 3x OpAmp net to ADC inputs (PA0, PA1, PA2) RH1p RH1m RH1 From sensors feedback I 2 C clock VDD RPU1 PB6 TEST M ODE VDD RTH NTC I 2 C data PB7 PB1 VDD PA6 PA5 CTH VDD + CCS1 RCS4 VDD Ccs2 RCS2 RCS1 RCS3 to ADC input (PA3) CVDD PA4 VM RBUS1 CBUS RBUS2 CVDDe PF0 PF1 Ready alarm NRST RESET CRES VDD VDDA PA0 PA1 PA2 PA3 From op amps outputs CVDDA1 Current feedback from OP1O AM DocID Rev 1 [English Rev 2] 35/40 40

36 封装信息 8 封装信息 为满足环境要求, 意法半导体为这些器件提供了不同等级的 ECOPACK 封装, 具体取决于它们的环保合规等级 ECOPACK 的规格 等级定义和产品状态可在 上查询 ECOPACK 是意法半导体的商标 建议定制 VFQFPN48 7 x 7 封装 内部连接到接地引脚的较小 EPAD 需要穿过封装底部的孔 铅镀层是镍 / 钯 / 金 (Ni/Pd/Au) 36/40 DocID Rev 1 [English Rev 2]

37 封装信息 8.1 VFQFPN48 7 x 7 封装信息 图 20. VFQFPN48 7 x 7 x L,0.5 mm 脚间距, 封装图 DocID Rev 1 [English Rev 2] 37/40 40

38 封装信息 表 15. VFQFPN48 7 x 7 x L,0.5 mm 脚间距, 封装机械数据 (1) 符号 尺寸 ( 毫米 ) 最小值典型值最大值 A A A A b D E e 0.50 D E K 1.80 L PIN44 与 DAP 融合 图 21. VFQFPN48 7 x 7 x L,0.5 mm 脚间距, 建议尺寸 AM /40 DocID Rev 1 [English Rev 2]

39 订购信息 9 订购信息 表 16. 订购码 订购代码 封装 封装 VFQFPN 7 x 7 x L Tray TR VFQFPN 7 x 7 x L 卷带和盘装 10 版本历史 表 17. 文档版本历史 日期版本变更 2016 年 9 月 30 日 1 初始版本 2017 年 3 月 30 日 2 在整个文档中用 STM32F031C6( 具有扩展温度范围, 后缀为 7 版本 ) 替换了 STM32F031x6x7 更新了图 1 第 5 页 ( 换成新图 ) 整篇文档的少量改动 表 18. 中文文档版本历史 日期版本变更 2017 年 10 月 25 日 1 中文初始版本 DocID Rev 1 [English Rev 2] 39/40 40

40 版本历史 重要通知 - 请仔细阅读 意法半导体公司及其子公司 ( ST ) 保留随时对 ST 产品和 / 或本文档进行变更 更正 增强 修改和改进的权利, 恕不另行通知 买方在订货之前应获取关于 ST 产品的最新信息 ST 产品的销售依照订单确认时的相关 ST 销售条款 买方自行负责对 ST 产品的选择和使用, ST 概不承担与应用协助或买方产品设计相关的任何责任 ST 不对任何知识产权进行任何明示或默示的授权或许可 转售的 ST 产品如有不同于此处提供的信息的规定, 将导致 ST 针对该产品授予的任何保证失效 ST 和 ST 徽标是 ST 的商标 所有其他产品或服务名称均为其各自所有者的财产 本文档中的信息取代本文档所有早期版本中提供的信息 本文档的中文版本为英文版本的翻译件, 仅供参考之用 ; 若中文版本与英文版本有任何冲突或不一致, 则以英文版本为准 2017 STMicroelectronics - 保留所有权利 40/40 DocID Rev 1 [English Rev 2]

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

STEVAL-SPIN3201STM32 MCUBLDC

STEVAL-SPIN3201STM32 MCUBLDC 用户手册 STEVAL-SPIN3201: 配备嵌入式 STM32 MCU 评估板的高级 BLDC 控制器 引言 STEVAL-SPIN3201 板是基于 STSPIN32F0 的 3 相无刷 DC 电机驱动器板 集成了 STM32 MCU 的 3 相控制器, 并采用 3 分流电阻作为电流读取拓扑 它为评估家电 风扇 无人机和电动工具等不同应用中的器件提供了易于使用的解决方案 该板旨在用于具有 3

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

ARM® 32 MCU32 K FlashADC V

ARM® 32 MCU32 K FlashADC V 基于 ARM 的 32 位 MCU, 配有高达 32 K 字节 Flash, 定时器 ADC 和通信接口, 2.0-3.6 V 数据手册 - 生产数据 特性 内核 :ARM 32 位 Cortex -M0 CPU, 频率高达 48 MHz 存储器 16 到 32 KB Flash 4 K 字节 SRAM, 带 HW 奇偶校验 CRC 计算单元 复位和电源管理 数字和 I/O 供电 :2.0 至 3.6

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

STM32Cube_FW_F4?RTC??????BUG

STM32Cube_FW_F4?RTC??????BUG STM32Cube_FW_F4 中 RTC_Calendar 例程的 BUG 前言 实时时钟 (RTC) 是一个独立的 BCD 定时器 / 计数器, 用来提供准确的日历和时间信息 准确性是其重要的指标 问题 某客户在其产品的设计中, 使用了 STM32F429IIT6 客户在使用过程发现一个问题, 虽然已经有使用电池对 VBAT 进行供电, 但是在经常频繁的 VDD 上下电之后, 发现时钟会比准确的时间慢几秒钟

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 24W, 集成各种快充输出协议 (DCP/QC2.0/QC3.0/MTK PE1.1/PE2.0/FCP/SCP/AFC/SFCP) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 24W(4V@3.6A, 5V@3.4A,9V@2.5A,12V@2A 等

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 24W, 集成各种快充输出协议 (DCP/QC2.0/QC3.0/FCP/AFC/SFCP) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 24W(4V@3.6A, 5V@3.4A,9V@2.5A,12V@2A 等 ) 输出电压有线补功能 输出具有 CV/CC

More information

Microsoft Word - PT4207_DSB_CH0.1.doc

Microsoft Word - PT4207_DSB_CH0.1.doc 概述 是一款高压降压式 LED 驱动控制芯片, 能适应从 18V 到 450V 的输入电压范围 采用革新的架构, 可实现在 85VAC~265VAC 通用交流输入范围可稳定可靠工作, 并保证系统的高效能 内置输入电压补偿功能极大改善了不同输入电压下 LED 电流稳定性 内置一个 350mA 开关, 并配备外部 MOS 开关驱动端口 对于 350mA 以下的应用无需外部 MOS 开关, 对于高于 350mA

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

ARM® Cortex®-M4 32 MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V

ARM® Cortex®-M4 32  MCU+FPU64 KB Flash16 KB SRAM ADC DAC COMP 2.0 – 3.6 V STM32F301x6 STM32F301x8 ARM Cortex -M4 32 位 MCU+FPU, 高达 64 KB Flash, 16 KB SRAM, ADC, DAC, COMP, 运算放大器, 2.0 3.6 V 特性 数据手册 - 生产数据 内核 :ARM 32 位 Cortex -M4 CPU, 配有 FPU ( 最大 72 MHz), 单周期乘法指令和硬件除法单元, DSP 指令

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 18W, 集成 Type-C PD 输出和各种快充输出协议 (DCP/QC2.0/QC3.0/FCP/AFC / USB PD) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入工作电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 18W(5V@3.1A,7V@2.4A, 9V@2A,12V@1.5A) 输出电压有线补功能,100mv/A

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

s

s s PLC (IEC) : PLC EMC EMC EMC PLC MC AS : 2 . 4 1. 2. 5 1. 2. EMC 3.. 14 1. 2.. 16. SINUMERIK SIMODRIVE 17 1. 2. 3.. PLC 23 1. S7-200 PLC 2. S7-200 3. S7-200 (TP/OP/MP) 4.. 30 1. 2. 3 . 1. 1.3 n 2 : 2

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

STM32L0xx

STM32L0xx 应用笔记 STM32L0xx 硬件开发入门 前言 本应用笔记为系统开发者们提供了所需的开发板特性硬件实现概述, 如供电电源 时钟管理 复位控制 自举模式设置 调试管理 它显示了如何使用 STM32L0xx 产品系列, 说明了开发 STM32L0xx 应用所需的最低硬件资源 本文还包括了详细的参考设计原理图, 说明了其主元件 接口和模式 2017 年 12 月 DocID026156 Rev 1 [English

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

EG3012芯片数据手册

EG3012芯片数据手册 ELECTRONIC GIANT EG2136 芯片数据手册 REV 1.0 版本号日期描述 版本变更记录 V1.0 2016 年 11 月 18 日 EG2136 数据手册初稿 2 / 12 目录 1. 特点... 4 2. 描述... 4 3. 应用领域... 4 4. 引脚... 5 4.1 引脚定义... 5 4.2 引脚描述... 5 5. 结构框图... 6 6. 典型应用图... 7

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information

PM0042

PM0042 数据手册 STM32F101x6 STM32F101x8 STM32F101xB 基本型, 32 位基于 ARM 核心的带闪存微控制器 6 个 16 位定时器 ADC 7 个通信接口 功能 核心 ARM 32 位的 Cortex-M3 CPU 36MHz,1.25DMIPS/MHz(Dhrystone2.1) 0 等待的存储器访问 单周期乘法和硬件除法 存储器 从 32K 字节至 128K 字节闪存程序存储器

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

BlueNRG-1

BlueNRG-1 应用笔记 BlueNRG-1 低功耗模式 前言 BlueNRG-1 是超低功耗蓝牙低能量 (BLE) 单模片上系统, 符合 Bluetooth 规范 其架构核心为 Cortex- M0 32 位 本应用笔记将介绍 BlueNRG-1 设备的低功耗模式 2017 年 6 月 DocID028870 Rev 1 [English Rev 1] 1/10 www.st.com 目录 目录 AN4820 1

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 PFC 和开关电源在电焊机中的应用 傅浩杰 ( 应用技术经理 ) 刘诗裕 ( 应用工程师 ) July 2009 1-1.1 在电网中, 随着电力电子技术的快速发展, 越来越多的电力电子设备在电网中使用, 特别是采用整流桥和电解电容作为前级电路的开关电源和交直交变频电路的广泛使用, 对电网照成了严重的谐波电流污染 有源功率因数校正 (APFC) 技术作为解决谐波电流污染的重要技术, 得到了广泛的研究和发展

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

STM32F4xxxx MCU

STM32F4xxxx MCU AN4488 应用笔记 STM32F4xxxx MCU 硬件开发入门 前言 本应用笔记为系统设计人员提供了所需的开发板硬件实现概述, 关注如下特性 : 电源 封装选择 时钟管理 复位控制 自举模式设置 调试管理 本文档展示了如何使用表 1 中列举的大容量高性能微控制器, 同时讲述了基于这些产品开发应用所需的最低硬件资源要求 本文还包括了详细的参考设计原理图, 说明了其主元件 接口和模式 表 1. 适用产品

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

untitled

untitled 3 /Integrated Circuits Fuji Electric offers a lineup of AC/DC and DC/DC power supply control ICs that support a variety of power circuits. These highly efficient, lownoise products with low standby power

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

上海芯龙半导体技术股份有限公司

上海芯龙半导体技术股份有限公司 特点 V 到 40V 宽输入电压范围 输出电压从 1.5V 到 37V 可调 最小压差 0.3V 固定 150KHz 开关频率 最大 3A 开关电流 内置功率 MOS 出色的线性与负载调整率 内置恒流环路 内置频率补偿功能 内置输出短路保护功能 内置输入过压保护功能 内置热关断功能 推荐输出功率小于 13W SOP-EP 封装 描述 是一款高效降压型 DC-DC 转换器, 可工作在 DCV 到 40V

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

校园之星

校园之星 V L V L L T O O gu G G an b y t A d g A B A B su U U U U U U U U N N N N N N S T L L B DD E & L L L & DG

More information