字元設備字元設備 (char device) 和普通檔案系統的區別 : 普通檔案系統可以來回讀 / 寫, 而大多字元設備僅僅是資料通道, 只能順序讀 / 寫 應用程式使用標準系統調用打開 (open) 讀取(read) 寫(write) 和關閉 (close), 完全好像這個設備是一個普通檔一樣 初

Size: px
Start display at page:

Download "字元設備字元設備 (char device) 和普通檔案系統的區別 : 普通檔案系統可以來回讀 / 寫, 而大多字元設備僅僅是資料通道, 只能順序讀 / 寫 應用程式使用標準系統調用打開 (open) 讀取(read) 寫(write) 和關閉 (close), 完全好像這個設備是一個普通檔一樣 初"

Transcription

1 第十章驅動程式的設計 為了瞭解嵌入式 Linux 系統中驅動程式的開發過程, 以及掌握如何運行和載入驅動程式, 在嵌入式 Linux 系統中嘗試添加一個驅動程式於 Linux 核心程式中 10-1.linux 設備驅動程式概述 設備驅動可以理解為作業系統的一部分, 對於一個特定的硬體設備來說, 其對應的 設備驅動程式是不同的 比如網卡, 音效卡, 鍵盤, 滑鼠以及顯卡等 對於作業系統來說, 掛 接的設備越多, 所需要的設備驅動程式也越多 對於嵌入式系統設計過程中, 沒有通用的 驅動程式可使用 設備驅動程式是 Linux 核心的重要組成部分 像作業系統的其他部分一樣, 驅動 程式在一個高優先順序的環境下工作, 如果發送錯誤則可能會引起嚴重的問題 系統 調用 (System call) 是作業系統核心與應用程式之間的介面 驅動程式則是作業系統 核心與機器硬體的介面 設備驅動程式能夠直接訪問硬體的代碼, 必須為應用程式提供系統調用 (System call) 以便應用程式能訪問設備 在 LINUX 中, 主要有三種設備即 : 字元設備 區 塊設備和網路設備 與此相關主要有三類設備驅動程式 : 字元設備驅動程式, 區塊設備 驅動程式和網路設備驅動程式 他們的系統調用是一致的, 採用統一的介面, 此介面定義在資料結構 file_operations 中 應用程式使用設備就像使用讀寫普通的 檔一樣方便, 例如使用相同的 open( ) close() read() write() 等, 透過這些指令 的使用真正做到與設備無關 通常 Linux 驅動程式介面分為如下四層 : (1) 應用程式進程與核心的介面 ; (2) 核心與檔案系統的介面 ; (3) 檔案系統與設備驅動程式的介面 ; (4) 設備驅動程式與硬體設備的介面 每個驅動程式都有個 file_operations 的資料結構, 包含了一系列的函數指標, 可以指 向自己所開發的介面如 open() 等 核心中有兩個表, 一個用於字元設備驅動程式 ; 一 個用於區塊設備驅動程式 這個兩個表用於保存指向 file_operation 資料結構的指 標, 驅動程式內部函數的位址保存在這一結構 1

2 字元設備字元設備 (char device) 和普通檔案系統的區別 : 普通檔案系統可以來回讀 / 寫, 而大多字元設備僅僅是資料通道, 只能順序讀 / 寫 應用程式使用標準系統調用打開 (open) 讀取(read) 寫(write) 和關閉 (close), 完全好像這個設備是一個普通檔一樣 初始化字元設備時, 它的設備驅動程式向 Linux 登記, 並在字元設備向量表中增加一個 device_struct 資料結構條目, 這個設備的主設備識別字 ( 例如, 對於 tty 設備的主設備識別字是 4) 用做這個向量表的索引 一個設備的主設備識別字是固定的 chrdevs 向量表維護已經登記的字元設備檔 區塊設備區塊設備 (block device) 是檔案系統的物質基礎, 它也支援像檔一樣訪問 這種為打開的區塊特殊檔提供正確的檔案系統操作組的機制和字元設備的十分相似 Linux 用 blkdevs 向量表維護已經登記的區塊設備檔 它像 chrdevs 向量表一樣, 使用設備的主設備號作為索引 與字元設備不同, 區塊設備進行分類,SCSI 是其中一類, 而 IDE 是另一類 網路設備驅動對於每一個網路介面, 都用一個 device 的資料結構表示 通常, 網路設備是一個物理設備, 如乙太網卡, 但軟體也可以作為網路設備, 典型的是回送設備 (loopback). 在核心啟動時, 系統通過網路設備驅動程式登記已經存在的網路設備 設備用標準的支援網路的機制來把收到的資料轉送到相應的網路層 關於網路設備驅動更詳細的資訊請查看相關資料 設備驅動程式的設備號 入口點以及載入 Linux 系統通過設備號來區分不同設備 設備號由兩部分組成 : 主設備號和次設備號 主設備號指明對應哪些設備驅動, 這種對應關係是固定不變並作為核心資源的一部份存在 需要注意的是, 同一個主設備號可以對應兩個不同的設備驅動, 一個可以是字元設備另一個可以是區塊設備 次設備號區分被一個設備驅動控制下的某個獨立的設備 比如, 同一個類型的 USB 2

3 設備可以在系統中有幾個, 它們使用次設備編號加以區分, 而設備驅動可以只對應一個 在 /proc/devices 中列出了系統中處於活動狀態設備的主設備號, 所謂的活動狀態是指與該設備對應的設備驅動已經被系統核心裝載 設備入口點也可以理解為 設備檔控制碼, 一個設備的入口點和磁片上的普通檔案系統一樣, 可以刪除 (rm), 移動 (mv) 和複製 (cp) 等 我們可以在檔案系統中使用 mknod 命令創建一個設備入口點或者通過系統調用 mknof 來創建 在檔案系統中創建了設備入口點並沒有代表回應的設備驅動和硬體已經準備好, 只是代表了和設備驅動通信的一部分 下面給一個創建字元設備入口點的實例 : mknod /dev/testchar c 其中 c 代表字元設備, 如果想創建塊設備則用 b 代替 c 參數 100 代表該設備的主設備號,0 代表該設備的次設備號 對於現有 Linux 作業系統,/dev 目錄是必不可少的, 這個目錄包含了所有 Linux 系統所知道的字元設備, 區塊設備和網路設備 操作字元設備的方法非常簡單 打開一個字元設備就像打開一個文字檔案一樣, 只不過讀 / 寫 檔 的操作實際上是操作設備的過程, 可以使用正常的檔操作命令 cat 或者 shell 重定向語法實現和設備的資料交換 在 Linux 下載入驅動程式可以採用動態和靜態兩種方式 靜態載入就是把驅動程式直接編譯到核心裡, 系統啟動後可以直接調用 靜態載入的缺點是調試起來比較麻煩, 每次修改一個地方都要重新編譯下載核心, 效率較低 動態載入利用了 Linux 的模組程式 (module) 特性, 可以在系統啟動後用 insmod 命令把驅動程式 (.o 檔 ) 添加上去, 在不需要的時候用 rmmod 命令來卸載 在嵌入式產品裡可以先用動態載入的方式來調試, 調試完畢後再編譯到核心裡 設備驅動程式在載入時首先需要調用入口函數 init_module(), 該函數完成設備驅動的初始化工作, 比如暫存器置位元, 結構體附值等一系列工作 其中最重要的一個工作就是向核心註冊該設備 對於字元設備調用 register_chrdev() 完成註冊, 對於區塊設備需要調用 register_blkdev() 完成註冊 註冊成功後, 該設備獲得了系統分配的主設備號, 自定義的次設備號, 並建立起與檔案系統的關聯 設備在卸載的時候, 需要回收回應的資源, 令設備的回應暫存器值重定並從系統中登出該設備 系統調用部分則是對設備的操作過程, 比如 open,read,write,ioctl 等操作 3

4 10-3.Linux 下字元設備驅動的添加範例 本節將以實例的方式介紹如何在核心裡添加一個字元設備驅動 功能說明 : 在模組載入的時候輸出模組載入提示資訊 模組卸載的時候輸出模組卸載提示資訊 1. 字元設備的驅動原始程式 mydrv.c: 編寫符合上面功能的驅動根源程式 mydrv.c, 根源程式的代碼如下 : #include <linux/config.h> #include <linux/init.h> #include <linux/module.h> #include <linux/fs.h> #include <linux/iobuf.h> #include <linux/kernel.h> #include <linux/major.h> #include <asm/uaccess.h> #include <asm/hardware.h> #include <asm/arch/mainstone.h> #include <asm/io.h> #include <linux/vmalloc.h> #define IOPORT_MAJOR 220 /* 定義主設備號 */ int mydrv_open(struct inode*,struct file*); // 函數聲明 int mydrv_release(struct inode*,struct file*); int mydrv_ctl(struct inode*,struct file*,unsigned int,unsigned long); static struct file_operations mydrv_ctl_fops={ ioctl: mydrv_ctl, open : mydrv _open, release: mydrv _release, }; 所有的作業系統將硬體設備當作檔處理, 所有外設的操作就封裝在這個 file_operations 結構體裡面就是檔的 open/read/write/close 等操作, 剩餘的都放到一個 ioctl 函數裡面做處理 } static int init mydrv_init(void) { int err=0; err=register_chrdev(ioport_major,"mydrv",& mydrv _ctl_fops); if(err) { printk("fail to register mydrv device.\n"); return -1; } printk("success to register mydrv device.\n"); return 0; int mydrv_open(struct inode *inode,struct file *fllp) { printk("open mydrv devices\n"); return 0; 4

5 } int mydrv_release(struct inode *inode,struct file *filp) { printk("release this mydrv device\n"); return 0; } int mydrv_ctl_ioctl(struct inode *inode,struct file *flip,unsigned int command,unsigned long arg) { printk("in mydrv_ctl function.\n"); return 0; } module_init(mydrv_init); module_exit(mydrv_release); 驅動程式中相關函數函數說明 file_operations 資料結構 inode 資料結構和 file 資料結構 : 核心通過 file 結構識別設備, 通過 file_operations 資料結構提供檔案系統的入口點函數, 也就是訪問設備驅動的函數 file_operations 定義在 <linux/fs.h> 中的函數指標表 這個結構中的每一個成員的名字都對應著一個系統調用 在應用程式利用系統調用 (System call) 對設備檔進行諸如 read/write 操作時, 系統調用通過設備檔的主設備好找到相應的設備驅動程式, 然後讀取這個資料結構相應的函數指標, 接著把控制權交給該函數, 這是 Linux 的設備驅動程式工作的基本原理 從某種意義上說, 寫驅動程式的任務之一就是完成 file_operations 中的函數指標 檔案系統處理的檔所需要的資訊在 inode( 索引結點 ) 資料結構中 inode 資料結構提供了關於特別設備檔 /dev/drivername, 這裡的 DriverName 可能是任何一個設備檔, 如 hda0 的資訊 file 資料結構主要用於與檔案系統對應的設備驅動程式使用 當然, 其他設備驅動程式也可以使用, 它提供有關被打開的檔的資訊 register_chrdev 函數設備驅動程式所提供的入口點, 在設備驅動程式初始化的時候向系統進行登記, 以便系統適當的時候調用 在 Linux 系統中, 通過調用 register_chrdev 向系統註冊字元型設備驅動程式 register_chrdev 在 fs/devices.c 資料中的定義如下 int register_chrdev(unsigned int major,const char *name,struct file_operations *fops) 5

6 參數中的 major 是為設備驅動程式向系統申請的主設備好, 如果 major 為 0, 則系統為該設備驅動程式動態分配一個主設備號, 不過系統分配的這個主設備是臨時的 在這裡我們的 major 為 220(IOPORT_MAJOR), 這樣該字元設備驅動程式的主設備號為 220,name 是設備名, 這裡設備名為 mydrv fops 就是前面所說的對各個調用的入口的說明, 在這裡,fops 為 mydrv_ctl_fops register_chrdev 函數返回 0 表示成功, 返回 -INVAL 表示申請的主設備號非法, 一般來說是主設備號大於系統所允許的最大設備號 返回 -EBUSY 表示所申請的主設備號正被其他設備驅動程式使用 如果動態分配主設備號成功, 則此函數將返回所分配的主設備號 如果 register_chardev 操 作成功, 則設備名就會出現在 /proc/devices( 教學平台的嵌入式 Linux 平台下 ) 檔 中, 可以通過 cat /proc/devices 來查看工作設備的資訊 該驅動程式的 Makefile 的檔如下 : ############################################################################ CROSS= /usr/local/arm/3.3.2/bin/arm-linux- CFLAGS=-D KERNEL CFLAGS+=-DMODULE CFLAGS+=-I/home/dlp/dma270l/linux-2.6.9/include CFLAGS+=-I/ home/dlp/dma270l/linux-2.6.9/include/linux CFLAGS+=-I/usr/local/arm/3.3.2/arm-linux/include CFLAGS+=-Wall -Wstrict-prototypes -Wno-trigraphs -Os -mapcs CFLAGS+=-fno-strict-aliasing -fno-common -fno-common -pipe -mapcs-32 CFLAGS+=-march=armv4 -mtune=arm9tdmi -mshort-load-bytes -msoft-float CFLAGS+=-DKBUILD_BASENAME=mydrvdrv all:mydrvdrv.o mydrvdrv.o: mydrvdrv.c $(CROSS)gcc $(CFLAGS) -c -o mydrvdrv.o mydrvdrv.c clean: rm -f *.o ############################################################################ 注意 :1 CROSS=/usr/local/arm/3.3.2/bin/arm-linux- 交叉編譯器的位置要設置正確 2)CFLAGS+=-I/home/dlp/dma270l/linux-2.6.9/include CFLAGS+=-I/ home/dlp/dma270l/linux-2.6.9/include /linux CFLAGS+=-I/usr/local/arm/3.3.2/arm-linux/include 這幾個函式庫檔的路徑也要設置正確 將 mydrv.c 和這個 Mafile 放置在同一個新建目錄下, 進入這個目錄, 輸入 make 後, 編譯成功後將在這個目錄下生成一個 mydrv.ko 的資料 將 mydrv.ko 複製到 /tmp 目 錄下 動態載入設備驅動模組 : #insmod mydrv.ko 如果載入成功, 可以通過 cat /proc/devices 查看該設備的相關資訊 6

7 卸載設備驅動模組 : #rmmod mydrv 該驅動相應的測試應用程式 mydrv_test.c mydrv_test.c 測試程式的原始程式碼如下 : #include <stdio.h> #include <stdlib.h> //system #include <fcntl.h> #include <errno.h> #include <unistd.h> #include <linux/delay.h> #include <sys/ioctl.h> #define DEVICE_MYDRVTEST "/dev/mydrv" // 設備節點 int main(int argc, char *argv[]) { int fd; int val=-1; fd=open(device_ MYDRVTEST,O_RDONLY);// 打開設備 if(fd<0) { } perror("can not open device"); exit(1); } ioctl(fd,0,10); close(fd); return 0; 將 mydrv_test.c 放置在一個新建目錄下, 進入該目錄下, 輸入命令 : /usr/local/arm/3.3.2/bin/arm-linux-gcc o mydrv_test mydrv_test.c 編譯成功後, 將在該目錄下生成 mydrv_test 可執行檔, 要注意交叉編譯工具 arm-linux-gcc 的路徑要設置正確, 這裡是 /usr/local/arm/3.3.2/bin 驅動程式的功能測試步驟如下 : (1) 先讓 DMA-PXA270L 開發板平台進入 Linux 環境, 利用超級終端來顯示,Linux 進入命令行的模式下 (2) 輸入命令 cd /tmp, 進入 tmp 目錄, 因為 /tmp 是在 SDRAM 中, 可以放資料 (3) 將 mydrv.o 和 mydrv_test 複製到 /tmp 目錄下 (4) 複製完成後, 接下來我們要進行真正的載入和運行的工作了 改變 mydrv_test 的屬性, 命令如下 : tmp#chmod 755 mydrv_test (5) 載入 mydrv.o 模組 :insmod mydrv.o 7

8 (6) 建立 mydrv 設備節點 :mknod /dev/mydrv c /dev/mydrv 為該設備驅動程式的設備名,c 表明該設備為字元設備,220 為該設備的 主設備編號,0 為次設備編號 (7) 執行 mydrv_test 程式 :./mydrv_test mydrv_test 程式運行起來後, 有相應的功能選項說明 上面的步驟只是教你如何將自己的驅動和引用程式添加到根檔案系統中, 根檔案系統運行後, 要驗證該驅動, 可參閱以上步驟 如果需要自動載入驅動, 則需要 將相關命令添加到 linuxrc 資料中 10-4 LED 跑馬燈實驗 此實驗目的是瞭解 PXA270 的 I/O 埠特性, 以及掌握 PXA270 的 I/O 埠的使用 PXA27X 系列處理器通常情況下有 121 個可程式化功能輸入 / 輸出 GPIO 埠接腳, 但是在 PXA270 處理器只有 119 個可程式化功能輸入 / 輸出 GPIO 埠接腳, 其中的 GPIO119 與 GPIO120 兩埠沒被引出 這些接腳是 <GPIO0-118>, 其特性如下表 : 每一個埠都可以有軟體設置來滿足各種系統配置和設計需求 在啟動程式之前你必須定義每個接腳的功能 如果接腳沒有配置為可程式化功能, 這個接腳被配置為 I/O 埠 GPIO 接腳的控制暫存器總共有 36 個相應的 32 位暫存器, 暫存器說明如下 : 狀態暫存器 (GPLRx) GPIO 的狀態暫存器 GPLR 可以監視每一個 GPIO 接腳的狀態 ( 輸入或輸出 ), 共有四個暫存器 GPLR0~GPLR3 控制暫存器 (GPSRx,GPCRx) 如果埠配置為輸出埠, 資料能被寫到控制暫存器對應的位元 控制暫存器 GPSR 8

9 定義為位置每一個接腳的功能, 共有四個暫存器 GPSR0~GPSR3; 控制暫存 器 GPCR 定義為清零每一個接腳的功能, 共有四個暫存器 GPCR0~GPCR3 埠配置暫存器 (GPDRx) 在 PXA270 中, 大部分的接腳是可程式化的 所以, 對於每個接腳要求定義一個功能 埠控制暫存器 (GPDR) 定義每一個接腳為輸入或輸出的功能, 共有四個暫存器 GPDR0~GPDR3 上升緣 / 下降緣監視暫存器 (GRERx & GFERx) 埠上升緣 / 下降緣監視暫存器控制著每個埠的上升緣 / 下降緣監視的使能或禁止 上升緣監視暫存器 GRER(GRER0~GRER3) 對應每個接腳的監視上升緣致能 ; 下降緣監視暫存器 GFER(GFER0~GFER3) 對應每個接腳的監視下降緣使能 邊緣狀態暫存器 (GEDRx) GPIO 的邊緣狀態暫存器 GEDR 可以監視每一個 GPIO 接腳邊緣的狀態 ( 上升 下降 ), 共有 4 個暫存器 GEDR0~GEDR3 可程式化功能暫存器 (GAFR_Lx, GAFR_Ux) 可程式化功能暫存器配置每個 GPIO 接腳為通用的 IO 介面或者是多功能暫 存器, 共有 8 個暫存器 本實驗涉及到的 I/O 埠暫存器介紹 在本實驗中, 由埠 GPIO3 GPIO4 控制核心板上的 D4 D5 兩個 LED 指示燈, 9

10 埠 GPIO19 GPIO99 GPIO188 GPIO89 控制底板上的 D3 D4 D5 D6 四個 LED 指示燈 主要涉及 GPIO 埠的控制暫存器有 GPDR GPSR GPCR GAFR_L GAFR_U GPIO 埠的第一組暫存器位址和位定義如下, 其他的位址和位元定義及詳細資訊可查看 PXA270 用戶技術手冊 Register Address R/W Description GPDR0 0x40E0000C R/W Set Pin Direction 0=Pin configured as an input 1=Pin configured as an output GPDR2 0x40E00014 R/W Set Pin Direction 0=Pin configured as an input 1=Pin configured as an output GPDR3 0x40E0010C R/W Set Pin Direction 0=Pin configured as an input 1=Pin configured as an output GPSR0 0x40E00018 W Set Output Pin State 0=Pin level unaffected 1=Pin level high(one) GPSR2 0x40E00020 W Set Output Pin State 0=Pin level unaffected 1=Pin level high(one) GPSR3 0x40E00118 W Set Output Pin State 0=Pin level unaffected 1=Pin level high(one) GPCR0 0x40E00024 W Clear Output Pin State 0=Pin level unaffected 1=Pin level low(zero) GPCR2 0x40E0002C W Clear Output Pin State 0=Pin level unaffected 1=Pin level low(zero) GPCR3 0x40E00124 W Clear Output Pin State 0=Pin level unaffected 1=Pin level low(zero) 10

11 GAFR0_U 0x40E00058 R/W As alternate functions or generic GPIO pin 0b00=Used as a general-purpose I/O 0b01=Used for its alternate funtion 1. 0b10=Used for its alternate funtion 2. 0b11=Used for its alternate funtion 3. GAFR2_U 0x40E00068 R/W As alternate functions or generic GPIO pin 0b00=Used as a general-purpose I/O 0b01=Used for its alternate funtion 1. 0b10=Used for its alternate funtion 2. 0b11=Used for its alternate funtion 3. GAFR3_L 0x40E0006C R/W As alternate functions or generic GPIO pin 0b00=Used as a general-purpose I/O 0b01=Used for its alternate funtion 1. 0b10=Used for its alternate funtion 2. 0b11=Used for its alternate funtion 3. 埠配置暫存器 GPDR0 11

12 埠配置暫存器 GPDR2 埠配置暫存器 GPDR3 控制暫存器 GPSR0 12

13 控制暫存器 GPSR2 控制暫存器 GPSR3 13

14 控制暫存器 GPCR0 控制暫存器 GPCR2 14

15 控制暫存器 GPCR3 可程式化功能暫存器 GAFR0_U 15

16 可程式化功能暫存器 GAFR2_U 可程式化功能暫存器 GAFR3_L 16

17 LED 跑馬燈實驗 4. 個 LED 指示燈的介面電路 4 個 LED 指示燈的介面電路如下 : 實驗步驟 (1) DMA-PXA270L 開發板開機重新啟動, 進入 blob 命令終端 ; (2) 在 blob 終端輸入 ledflash 命令, 運行 led 跑馬燈實驗 (3) 觀察底板上的 D3 D4 D5 D6 LED 燈 17

18 跑馬燈程式編程實例 設置 D3 D4 D5 D6 對應埠 GPIO19 GPIO99 GPIO188 GPIO89 功能為一般 GPIO 方向為輸出 : // GAFR0_U ~(0xc0); uregtempvalue = (ReadReg(xlli_GPIOREGS_PHYSICAL_BASE, & xlli_gafr0_u_offset)) WriteReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gafr0_u_offset, uregtempvalue); // GAFR2_U 18

19 9-5 GPIO 按鍵實驗 編寫一個通過 GPIO 實現的按鍵控制程式 實驗目的 瞭解 GPIO 按鍵實現原理 學習 GPIO 控制程式的編寫 獨立式按鍵原理介紹 : 一個 IO 埠接一個按鍵到另外一個輸出為低的 IO 埠, 並通過一電阻上拉至高電位, CPU 根據相關 IO 埠的電平來判斷是否有鍵按下 當無鍵按下時,IO 埠被拉至高電位, 當有鍵按下時,IO 埠被按鍵短路到低電位 IO 埠, 此時 IO 埠呈低電位,CPU 讀取到該埠狀態, 識別到相應的鍵按下而去執行相應的程式 本設計是通過一個 GPIO 實現上拉的功能 相關 GPIO: KEY_IN0 KEY_IN1 KEY_IN2 KEY_IN3 分別對應與 GPIO97 GPIO102 GPIO101 GPIO100 相連接,KEY_OUT0 與 GPIO96 相連接, 在沒有按鍵按下的時起到拉高 IO 埠的作用 GPDR: 設置 GPIO 的方向 ; 19

20 GPSR: 設置 GPIO 為高電位 ; GAFR: 設置 IO 埠的基本功能 : 20

21 實驗步驟 DMA-PXA270L 開發平台開機重啟, 進入 blob 命令終端介面 ; 在 blob 終端輸入 keytest 命令, 運行 GPIO 按鍵實驗 分別按下 DMA-PXA270L 底板上的 S1 S2 S3 S4 進行測試, 終端會列印相關資訊 : 21

22 7-5.6 GPIO 按鍵程式編程實例 GPIO97 GPIO102 GPIO101 GPIO100 GPIO96 設置為一般 GPIO 功能 : uregtempvalue = (ReadReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gafr3_l_offset)) & ~(0x3f0f); WriteReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gafr3_l_offset, uregtempvalue); // GAFR3_L GPIO97 GPIO102 GPIO101 GPIO100 設置為輸入方向,GPIO96 設置為輸出方向 : uregtempvalue = (ReadReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gpdr3_offset)) & ~(0x72); WriteReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gpdr3_offset, uregtempvalue); // GPDR3 uregtempvalue = (ReadReg(xlli_GPIOREGS_PHYSICAL_BASE, 22

23 xlli_gpdr3_offset)) (0x1); WriteReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gpdr3_offset, uregtempvalue); // GPDR3 GPIO96 設置為輸出低電位 : WriteReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gpcr3_offset, 0x1); // GPCR3 讀取 GPLR 暫存器, 判斷 GPIO97 GPIO102 GPIO101 GPIO100 是否 為低電位, 是低電位說明對應按鍵被按下 : uregtempvalue = (ReadReg(xlli_GPIOREGS_PHYSICAL_BASE, xlli_gplr3_offset)) & (0x72); switch (uregtempvalue) { case 0x70: printf("key_in0 (S1) DOWN.\n"); break; case 0x62: printf("key_in3 (S4) DOWN.\n"); break; case 0x52: printf("key_in2 (S3) DOWN.\n"); break; case 0x32: printf("key_in1 (S2) DOWN.\n"); break; default: printf("no KEY DOWN.\n"); } 上面是關於該實驗涉及到的部分代碼, 完整的代碼請參閱 dma-blob-xscale.tar.gz 原始碼 23

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

static struct file_operations gpio_ctl_fops={ ioctl: gpio_ctl_ioctl, open : gpio_open, release: gpio_release, ; #defineled1_on() (GPBDAT &= ~0x1) #def

static struct file_operations gpio_ctl_fops={ ioctl: gpio_ctl_ioctl, open : gpio_open, release: gpio_release, ; #defineled1_on() (GPBDAT &= ~0x1) #def Kaise s 2410 Board setting [1]. Device Driver Device Driver Linux s Kernel ARM s kernel s3c2410_kernel2.4.18_r1.1_change.tar.bz2 /usr/src (1) #cd /usr/src (2) #tar xfj s3c2410_kernel2.4.18_r1.1_change.tar.bz2

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

<4D F736F F D20C7B6C8EBCABDCFB5CDB3BFAAB7A2CAB5D1E9CBC42E646F63>

<4D F736F F D20C7B6C8EBCABDCFB5CDB3BFAAB7A2CAB5D1E9CBC42E646F63> 嵌入式系统实验四 Linux 下设备驱动程序的开发 3.1 设备驱动程序的开发流程 进行嵌入式 Linux 系统的开发, 很大的工作量是为各种设备编写驱动程序 在 ARM 平台上开发嵌入式 Linux 的设备驱动程序与在其他平台上开发是一样的 总的来说, 实现一个嵌入式 Linux 设备驱动的大致流程如下 : (1) 查看原理图, 理解设备的工作原理 (2) 定义主设备号 (3) 在驱动程序中实现驱动的初始化

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

Microsoft Word - 实用案例.doc

Microsoft Word - 实用案例.doc 计 算 机 系 统 应 用 2009 年 第 12 期 嵌 入 式 Linux 下 温 湿 度 传 感 器 的 设 计 与 实 现 1 Design and Implementation of Temperature and Humidity Sensor Based on Embedded Linux 陈 博 刘 锦 高 ( 华 东 师 范 大 学 电 子 科 学 技 术 系 上 海 200241)

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

epub 33-8

epub 33-8 8 1) 2) 3) A S C I I 4 C I / O I / 8.1 8.1.1 1. ANSI C F I L E s t d i o. h typedef struct i n t _ f d ; i n t _ c l e f t ; i n t _ m o d e ; c h a r *_ n e x t ; char *_buff; /* /* /* /* /* 1 5 4 C FILE

More information

Microsoft PowerPoint - C_Structure.ppt

Microsoft PowerPoint - C_Structure.ppt 結構與其他資料型態 Janet Huang 5-1 結構的宣告 struct 結構名稱 struct 結構名稱變數 1, 變數 2,, 變數 m; struct 結構名稱 變數 1, 變數 2,, 變數 m; student; student; 5-2 1 結構變數初值的設定 struct 結構名稱 struct 結構名稱變數 = 初值 1, 初值 2,, 初值 n student="janet","1350901",100,95

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

untitled

untitled A, 3+A printf( ABCDEF ) 3+ printf( ABCDEF ) 2.1 C++ main main main) * ( ) ( ) [ ].* ->* ()[] [][] ** *& char (f)(int); ( ) (f) (f) f (int) f int char f char f(int) (f) char (*f)(int); (*f) (int) (

More information

プログラムの設計と実現II

プログラムの設計と実現II UNIX C ls mkdir man http://www.tj.chiba-u.jp/lecture/prog2/ Ctrl+x, Ctrl+s ( )..[4]% gcc Wall o hoge hoge.c..[5]%./hoge 1 : 1 2 : 2 3 : 3 4 : 0 6..[6]% (! )..[4]% gcc Wall o hoge hoge.c..[5]%!g gcc Wall

More information

Microsoft Word - Mail2000_SecurityPatch_

Microsoft Word - Mail2000_SecurityPatch_ Mail2000 安全性加強程式 網擎資訊軟體股份有限公司謹呈 1 Mail2000 安全性加強程式 Introduction Mail2000 安全性加強程式, 是針對 Mail2000 V4.0 以上版本, 包括 V4.0 及 V4.5 版本所提供, 以加強系統的安全性, 減少因惡意信件而引起的安全性問題 版本名稱 Mail2000 版本作業系統檔案名稱 V4.0 單一網域版本 V4.0 SDSS

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

投影片 1

投影片 1 軟體說明書繁體中文 RGB A 目錄 - CONTENTS 01 09 15 17 22 軟體主介面 巨集設定說明 主介面概觀 個人設定檔 (Profiles) 一般模式 / 遊戲模式 按鍵功能分配 巨集管理器概觀 巨集管理器 巨集錄製設定 巨集錄製時間列表 插入指令 閃移系統 - I.S.S (Instant Shift System) 燈光設定更新韌體 閃移系統啟動鈕設定說明 燈光設定介面 介面區域一

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

新・解きながら学ぶC言語

新・解きながら学ぶC言語 330!... 67!=... 42 "... 215 " "... 6, 77, 222 #define... 114, 194 #include... 145 %... 21 %... 21 %%... 21 %f... 26 %ld... 162 %lf... 26 %lu... 162 %o... 180 %p... 248 %s... 223, 224 %u... 162 %x... 180

More information

How to Debug Tuxedo Server printf( Input data is: %s, inputstr); fprintf(stdout, Input data is %s, inputstr); fprintf(stderr, Input data is %s, inputstr); printf( Return data is: %s, outputstr); tpreturn(tpsuccess,

More information

第一章 基礎篇 第一章基礎篇 1-1 Linux 入門 Linux 究竟是什麼? 用最簡單的話說,Linux 是一個作業系統 它是一位赫爾辛基大學學生 Linus Torvalds(Linux 是 Linus's UNIX 的縮寫 ) 在 1991 年 10 月創造的 Linux 本身實

第一章 基礎篇 第一章基礎篇 1-1 Linux 入門 Linux 究竟是什麼? 用最簡單的話說,Linux 是一個作業系統 它是一位赫爾辛基大學學生 Linus Torvalds(Linux 是 Linus's UNIX 的縮寫 ) 在 1991 年 10 月創造的 Linux 本身實 第 1 章基礎篇... 1 1-1 Linux 入門... 1 1-2 Linux 系統開發簡介... 10 第 2 章 實驗篇...31 2-1 實驗一嵌入式 Linux 開發環境建立及核心編譯運作實驗 31 2-2 實驗二嵌入式 Linux 根檔案系統的製作實驗... 40 2-3 實驗三嵌入式 Linux 驅動程式添加實驗... 44 2-4 實驗四嵌入式 Linux 下彩色 LCD 驅動的設計與實現...

More information

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc)

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc) 作者 Amber 版本 1.0.0 日期 2012/04/25 頁數 1/7 如何使用 LED 燈顯示狀態? 適用於 : 平台 作業系統版本 XPAC utility 版本 XP-8000 系列 N/A N/A XP-8000-Atom 系列 WES2009 所有版本 N/A: Not applicable to this platform and OS. 注意! 欲變更系統的任何設定之前, 請先關閉

More information

新版 明解C言語入門編

新版 明解C言語入門編 328, 4, 110, 189, 103, 11... 318. 274 6 ; 10 ; 5? 48 & & 228! 61!= 42 ^= 66 _ 82 /= 66 /* 3 / 19 ~ 164 OR 53 OR 164 = 66 ( ) 115 ( ) 31 ^ OR 164 [] 89, 241 [] 324 + + 4, 19, 241 + + 22 ++ 67 ++ 73 += 66

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

C++ 程式設計

C++ 程式設計 C C 料, 數, - 列 串 理 列 main 數串列 什 pointer) 數, 數, 數 數 省 不 不, 數 (1) 數, 不 數 * 料 * 數 int *int_ptr; char *ch_ptr; float *float_ptr; double *double_ptr; 數 (2) int i=3; int *ptr; ptr=&i; 1000 1012 ptr 數, 數 1004

More information

09 Linux Linux Linux Linux 009.indd /9/4 下午 12:11:10

09 Linux Linux Linux Linux 009.indd /9/4 下午 12:11:10 09 Linux Linux Linux Linux 009.indd 1 2009/9/4 下午 12:11:10 Linux 指令範例速查手冊 9.1 badblocks badblocks [ ][ ] badblocks -b < > -c < > -i < > -o < > mke2fs -p -t < > -n -s -v -w 0 badblocks -o 9-2 009.indd 2

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Microsoft Word - Prog1-981.docx

Microsoft Word - Prog1-981.docx 5. 變數參照 (Memory Reference) 5.1 指標 (Pointer) (1). 指標 (Pointer) 的基本觀念 特性 內含為一 Memory Address 會因不同的機器而有不同的結果 &" 也是代表變數的位址 例如 : int var1 = 2; cout

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information

提纲 1 2 OS Examples for 3

提纲 1 2 OS Examples for 3 第 4 章 Threads2( 线程 2) 中国科学技术大学计算机学院 October 28, 2009 提纲 1 2 OS Examples for 3 Outline 1 2 OS Examples for 3 Windows XP Threads I An Windows XP application runs as a seperate process, and each process may

More information

投影片 1

投影片 1 ICP DAS DeviceNet 解決方案 1501 應用架構 泓格 DeviceNet 系列產品 DeviceNet Master 產品 DeviceNet 主端解決方案 PC Board + DeviceNet 主端函式庫 PC Board PAC USB PISO-CAN 200U PISO-CAN 400U PEX-CAN 200i PCM-CAN 100 PCM-CAN 200 PCM-CAN

More information

投影片 1

投影片 1 類 Linux (, VBird) 2008/03/28 Linux 1 Linux man page / 流 例 2008/03/28 Linux 2 Linux 2008/03/28 Linux 3 Linux CPU RAM 路 2008/03/28 Linux 4 Linux Linux 2008/03/28 Linux 5 Linux (sector) 理 量 512bytes (cylinder)

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

1

1 黎明國中 106 學年度 運算思維 生科與資訊課程 摩斯密碼 花現 GNP i 目錄 第 1 章 Arduino 搖桿... 1 1-1 搖桿連接 Arduino 擴充版... 1 1-2 藍芽連接 Arduino 擴充版... 1 1-3 Arduino 連接電腦... 1 1-4 Arduino 搖桿控制 LED 燈程式... 1 1-5 LED 燈傳遞 摩斯密碼 程式... 3 1-6 搖桿啟動

More information

第一章 概论

第一章  概论 1 2 3 4 5 6 7 8 Linux 7.1 7.1.1 1 1 2 3 2 3 1 2 3 3 1 2 3 7.1.2 1 2 1 2 3 4 5 7.1.3 1 1 2 3 2 7.1 3 7.1.4 1 1 PCB 2 3 2 PCB PCB PCB PCB PCB 4 1 2 PSW 3 CPU CPU 4 PCB PCB CPU PCB PCB PCB PCB PCB PCB PCB

More information

( )... 5 ( ) ( )

( )... 5 ( ) ( ) 2016 大學校院招收大陸地區學生聯合招生委員會 71005 臺南市永康區南臺街 1 號 E-mail:rusen@stust.edu.tw WEB:http://rusen.stust.edu.tw TEL:+886-6-2435163 FAX:+886-6-2435165 2 0 1 6 0 1 1 9 2016... 2... 3... 5 ( )... 5 ( )... 5 1... 6 2...

More information

Lab GPIO:嵌入式硬體平台輸出入實驗

Lab GPIO:嵌入式硬體平台輸出入實驗 Lab GPIO: 嵌入式硬體平台輸出入實驗 課程 : 嵌入式系統與軟體工程 開發學校 : 台大電機系 王勝德教授 Outline 1. 實驗器材 2. 實驗所需軟體 3. 簡介 4. 背景知識 5. 延伸討論 實驗器材 PC x 2 Requirement: PC or Notebook computer 一台安裝 WindowsXP, 另一台安裝 Linux (CentOS). DMA-2440

More information

投影片 1

投影片 1 的安裝與編譯實習 ( 代號 : 303)(Part II) DOC Number : RM-033-04-303 DOC Version : V1.00 Release Date : 2007-03-16 Module Name : 的安裝與編譯實習 Platform : Creator XScale- Category : embedded Linux Difficulty : basic Experiment

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

第一次寫Linux Driver就上手

第一次寫Linux Driver就上手 Lab 10 Linux Drivers 實驗目的 利用 Linux 來建立 Embedded system 已經是非常常見的應用 在不同的系統應用上面經常會有不同的硬體如 LCD Key Pad 等, 必須透過不同的 I/O 操作才能夠控制 通常這些必須直接與硬體溝通的程式, 都會寫成 driver 的型態載入作業系統 透過該 driver 提供一組標準的介面存取硬體, 如此使用者的程式便不需要直接與硬體溝通,

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

1

1 磁軌式讀卡機 1288 系列 使用手冊 Version 1.0 1 2 3 4 5 6 7 8 9 10 11 12 1288 MSR Micro controller : With Decoder Open Visual COM port to read data (UART Interface) From 1288 Or direct control 1288 by sending Command

More information

Microsoft PowerPoint - 移植Qt for Embedded Linux

Microsoft PowerPoint - 移植Qt for Embedded Linux 移植 Qt for Embedded Linux Architecture for Next-generation Digital Engines for SoC 1. 移植 QT for Embedded Linux 至實驗板, 使 Qt Creator 上所撰寫的程式可以跨平台在 Embedded System 上執行. QT 目前並沒有將 Andes Core 加到程式的選項內, 不過只需要修改部分的程式,

More information

untitled

untitled 1 DBF (READDBF.C)... 1 2 (filetest.c)...2 3 (mousetes.c)...3 4 (painttes.c)...5 5 (dirtest.c)...9 6 (list.c)...9 1 dbf (readdbf.c) /* dbf */ #include int rf,k,reclen,addr,*p1; long brec,erec,i,j,recnum,*p2;

More information

PICkit2 燒寫器編程器調試器

PICkit2 燒寫器編程器調試器 PICkit2 燒寫器編程器調試器 Part No:MP-Micro-PIC-PICkit2 PICKit2 功能簡介 : PICKIT2 能夠對 Microchip 的 PIC10/12/16/18/24/32 dspic30/dspic33 等幾乎全系列 PIC 的 FLASH 單片機晶片進行線上編程和偵錯 並且 PICKIT2 能夠對 Microchip 的 KEELOQ HCS 系列晶片 MCP250xx

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABD6C696E7578BBF9B4A1CAB5D1E92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABD6C696E7578BBF9B4A1CAB5D1E92E646F63> 嵌 入 式 linux 基 础 实 验 1 内 核 配 置 及 编 译 1. 进 入 内 核 所 在 目 录 /opt/ruiva/xscale/linux-2.6.26 #cd /opt/ruiva/xscale/linux-2.6.26 2. 键 入 make menuconfig, 根 据 需 要 适 当 配 置 内 核 #make menuconfig 这 里 先 使 用 默 认 的 配 置,

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Microsoft Word - PS2_linux_guide_cn.doc

Microsoft Word - PS2_linux_guide_cn.doc Linux For $ONY PlayStatioin2 Unofficall General Guide Language: Simplified Chinese First Write By Beter Hans v0.1 Mail: hansb@citiz.net Version: 0.1 本 人 是 菜 鸟 + 小 白 欢 迎 指 正 错 误 之 处, 如 果 您 有 其 他 使 用 心 得

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

Microsoft PowerPoint - 9-Linux Kernel.ppt

Microsoft PowerPoint - 9-Linux Kernel.ppt Building Linux Kernel Outline Linux 核心程式碼的目錄結構及各目錄的相關內容 Linux 核心各設定選項內容和作用 Linux 核心設定檔 config.in 的作用 Linux 核心的編譯過程 將新增核心程式加入到 Linux 核心結構中的方法 核心程式碼目錄介紹 (1) arch:arch 子目錄包括所有與體系結構相關的核心程式 arch 的每一個子目錄都代表一個

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

1

1 SDT Uclinux SDT.alf.c 44blib.alf 44blib.c jtag ADS.alf.c make menuconfig make dep make clean make lib_only make user_only make romfs make image make uclinux ext2 cash lcd frambuffer 1 armsys-c uclinux

More information

新版 明解C++入門編

新版 明解C++入門編 511!... 43, 85!=... 42 "... 118 " "... 337 " "... 8, 290 #... 71 #... 413 #define... 128, 236, 413 #endif... 412 #ifndef... 412 #if... 412 #include... 6, 337 #undef... 413 %... 23, 27 %=... 97 &... 243,

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

ebook35-14

ebook35-14 14 V F S L i n u x 14.1 S u p e r I O I D E I D E C S R, C S R L i n u x L i n u x I D E / d e v / h a d m k n o d I D E I D E / d e v / h d a 2 L i n u x Linux /dev/cua0 / d e v / c u a 1 512 1024 BSD

More information

<A4E2BEF7B4FAB8D5B3F8A F52322E786C7378>

<A4E2BEF7B4FAB8D5B3F8A F52322E786C7378> 製表日期 : 2008 年 9 月 17 日 Mobile Java Applet 手機安裝測試報告表 已完成測試機型數量 :317 台 ; 無問題 ( 可安裝 / 可執行 ) 機型 :315 台無法使用 :2 台 ; 特殊註記機型 :2 台 廠牌 機型 測試狀況 OK 不 OK 安裝資料夾 ( 目錄 ) 備註 NOKIA N95 應用程式 NOKIA 6110 應用程式 NOKIA 3120 應用程式

More information

1

1 1 2 3 4 5 GNUDebugger 6 7 void main(int argc, char **argv){ vulncpy(argv[1]); return; } void vulncpy(char *a){ char buf[30]; strcpy(buf, a); return; } *argv[1] buf Shellcode *argv[1]... &buf &buf 8 strcpy

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

CU0594.pdf

CU0594.pdf 8 SOHO 1 3 003 SOHO SOHO Coder Programmer HTML CSS PHP JavaScrip 009 LECTURE 1-1 1 048 PART 2 LECTURE 1-1 1 049 SOHO Landing Page Landing 050 PART 2 LECTURE 1-1 1 SEO SEO P.093 SEO SEO SEO SEO SEO 051

More information

ebook50-15

ebook50-15 15 82 C / C + + Developer Studio M F C C C + + 83 C / C + + M F C D L L D L L 84 M F C MFC DLL M F C 85 MFC DLL 15.1 82 C/C++ C C + + D L L M F C M F C 84 Developer Studio S t u d i o 292 C _ c p l u s

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析 内核中的理解 : 内核这样理解 :... 2 RTC 测试 RTC:

网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析 内核中的理解 : 内核这样理解 :... 2 RTC 测试 RTC: 网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析... 2 1. 2.4 内核中的理解 :... 2 2. 2.6 内核这样理解 :... 2 RTC... 6 1. 测试 RTC:... 11 1. 1. 修改 arch\arm\plat-s3c24xx\common-smdk.c... 11 2.

More information

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2 Chapter 02 變數與運算式 2.1 2.1.1 2.1.2 2.1.3 2.1.4 2.2 2.2.1 2.2.2 2.2.3 type 2.2.4 2.3 2.3.1 print 2.3.2 input 2.4 2.4.1 2.4.2 2.4.3 2.4.4 2.4.5 + 2.4.6 Python Python 2.1 2.1.1 a p p l e b e a r c 65438790

More information

根據 一手住宅物業銷售條例 第 60 條所備存的成交記錄冊 Register of Transactions kept for the purpose of section 60 of the Residential Properties (First-hand Sales) Ordinance 第

根據 一手住宅物業銷售條例 第 60 條所備存的成交記錄冊 Register of Transactions kept for the purpose of section 60 of the Residential Properties (First-hand Sales) Ordinance 第 根據 一手住宅物業銷售條例 第 60 條所備存的成交記錄冊 Register of s kept for the purpose of section 60 of the Residential Properties (First-hand Sales) Ordinance 第一部份 : 基本資料 Part 1: Basic Information 發展項目名稱 Name of Development

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force Steven Shiau steven@nchc.gov.tw National Center for High-Performance Computing Sep 10, 2002 1 Outline 1. 2. 3. Service DHCP, TFTP, NFS, NIS 4. 5. 2 DRBL (diskless remote boot

More information

Microsoft Word - cr_xi_supported_platforms_tw.doc

Microsoft Word - cr_xi_supported_platforms_tw.doc Crystal Reports XI 支援平台 ====================================== (c) 2004 Business Objects XI 發佈時的一般可用時期支援這些平台 如需 XI 平台支援最新版本的資訊, 請參閱 :http://www.businessobjects.com/support/ 語言 ========= * 英文 * 法文 * 德文

More information

第3章.doc

第3章.doc 3 3 3 3.1 3 IT Trend C++ Java SAP Advantech ERPCRM C++ C++ Synopsys C++ NEC C C++PHP C++Java C++Java VIA C++ 3COM C++ SPSS C++ Sybase C++LinuxUNIX Motorola C++ IBM C++Java Oracle Java HP C++ C++ Yahoo

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

Microsoft PowerPoint - Chapter7-DriverDevices.ppt

Microsoft PowerPoint - Chapter7-DriverDevices.ppt Chapter 7 Device Drivers and Software Interface Design Professor Ching-Lung Su E-mail: kevinsu@yuntech.edu.tw Http://www.eecs.yuntech.edu.tw NYUST/EL P-2/80 Outline 7.1 Introduction to Device Driver 7.2

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一 考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 五 專 企 二 乙 胡 哲 維 中 文 輸 入 五 專 企 二 乙 周 林 昜 中 文 輸 入 五 專 企 二 乙 賴 昱 樺 中 文 輸 入 五 專 企 二 乙

More information

ActiveX Control

ActiveX Control ActiveX Control For Visual Basic 2005.NET [ 版本 : 1.0] 1 安裝 Windows 驅動程式 請依照下列步驟 : 1. 執行 Windows 驅動程式安裝程式 ( 此範例為 PIO-DIO) 驅動程式位置 : CD:\NAPDOS\PCI\PIO-DIO\dll_ocx\Driver http://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/pio-dio/dll_ocx/driver/

More information

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc Watch Dog Timer () 功能使用說明 ( 使用內部 250KHz) 適用產品 :SM59264 SM59128 SM8954A SM8958A SM89516A SM894051 SM79108 SM79164 SM59D03/04G2 應用說明 : 1 針對使用新茂 (SyncMOS)MCU, 如有因程式設計之關係, 需防止當機之發生時, 可啟動 Watch Dog 功能, 以防止當機之發生

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

(Microsoft Word -

(Microsoft Word - 參加者姓名 陳一諾 陳月明 粱倩昕 參賽學校 中華基督教會全完第一小學 作品名稱 百變花瓶美家居 一 作品主題內容 廢紙盒經切割成外型美麗的 花瓶 作者利用雜誌紙拼貼令瓶更美 利用 雜誌紙做一些花兒 既環保 又能享受製作 花兒的樂趣 更可美化家居 參加者姓名 楊嘉雯 黃少仙 楊艷青 參賽學校 中華基督教會全完第一小學 作品名稱 百變花瓶美家居 二 小學生組 作品主題內容 廢紙盒經切割成外型美麗的 優異

More information

BOOL EnumWindows(WNDENUMPROC lparam); lpenumfunc, LPARAM (Native Interface) PowerBuilder PowerBuilder PBNI 2

BOOL EnumWindows(WNDENUMPROC lparam); lpenumfunc, LPARAM (Native Interface) PowerBuilder PowerBuilder PBNI 2 PowerBuilder 9 PowerBuilder Native Interface(PBNI) PowerBuilder 9 PowerBuilder C++ Java PowerBuilder 9 PBNI PowerBuilder Java C++ PowerBuilder NVO / PowerBuilder C/C++ PowerBuilder 9.0 PowerBuilder Native

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

C C

C C C C 2017 3 8 1. 2. 3. 4. char 5. 2/101 C 1. 3/101 C C = 5 (F 32). 9 F C 4/101 C 1 // fal2cel.c: Convert Fah temperature to Cel temperature 2 #include 3 int main(void) 4 { 5 float fah, cel; 6 printf("please

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

***********************************************************************************

*********************************************************************************** *********************************************************************************** * 文 档 名 称 :LINUX 下 的 串 口 通 信 编 程 与 调 试 * 文 档 作 者 : 熊 益 铭 (xiongyiming@nari-china.com) * 创 建 日 期 :2005 年 8 月 2 日 * 修 订

More information

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl 01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Flash 可以做精美的網路動畫並不陌生, 但是實際上 Flash 不僅如此, 只要搭配 ActionScript

More information

AutoCAD 用戶如何使用 ArchiCAD

AutoCAD 用戶如何使用 ArchiCAD AutoCAD 用戶如何使用 ArchiCAD AutoCAD用戶如何使用ArchiCAD ( 中文版 ) 由 Scott MacKenzie, Simon Gilbert, Geoffrey Moore Langdon, David Byrnes, Ralph Grabowski 編寫 龍庭資訊有限公司 1/73 - 2. 3. 4. -

More information

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc 目 录 第 一 章 关 于 InoTouch Editor 编 程 软 件 的 安 装... - 6-1.1 InoTouch 系 列 HMI 和 InoTouch Editor 软 件 的 简 介... - 6-1.2 安 装 InoTouch Editor 编 程 软 件... - 10-1.3 系 统 连 接 图... - 12-1.4 InoTouch 系 列 人 机 界 面 的 系 统 设

More information

Microsoft Word - RM Domingo for Linux針對Linux Kernel、Device Driver的除錯實習.doc

Microsoft Word - RM Domingo for Linux針對Linux Kernel、Device Driver的除錯實習.doc DOC Number : RM-033-04-307 DOC Version : V1.00 Release Date : 2007-03-16 Module Name : Domingo for Linux 針對 Linux Kernel Device Driver 的除錯實習 Platform : Creator XScale-PXA270 Category : Embedded Linux programming

More information

PIC_SERVER (11) SMTP ( ) ( ) PIC_SERVER (10) SMTP PIC_SERVER (event driven) PIC_SERVER SMTP 1. E-

PIC_SERVER (11) SMTP  ( ) ( ) PIC_SERVER (10) SMTP  PIC_SERVER (event driven)  PIC_SERVER SMTP  1.  E- (2005-02-01) (2005-04-28) PIC_SERVER (10) SMTP E-mail PIC_SERVER (event driven) E-mail PIC_SERVER SMTP E-mail 1. E-mail E-mail 1 (1) (2) (3) (4) 1 1. 2 E-mail A E-mail B E-mail SMTP(Simple Mail Transfer

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

投影片 1

投影片 1 類 Linux 理 (, VBird) 2008/03/31 Linux 理 1 Linux 理 Linux (ILA) Linux 理 (ILB) Linux Linux (ILA) Linux 理 (ILB) Linux 路 (ILC) 2008/03/31 Linux 理 2 Linux 理 Linux 理 理 更 kernel boot loader LILO, grub 理 shell script

More information

Microsoft Word - linux命令及建议.doc

Microsoft Word - linux命令及建议.doc Linux 操 作 系 统 命 令 集 1 基 本 命 令 查 看 系 统 信 息 : uname -a 修 改 密 码 : passwd 退 出 : logout(exit) 获 取 帮 助 : man commands 2 文 件 和 目 录 命 令 显 示 当 前 工 作 目 录 : pwd 改 变 所 在 目 录 : cd cd - 切 换 到 上 一 次 使 用 的 目 录 cd 切 换

More information

投影片 1

投影片 1 4 1 4-1 類 料, 兩 類 2 類 RAM (Random Access Memory, ) ROM (Read Only Memory, 讀 ) 兩, 類, 見 3 類 4 說 CPU, 料都, CPU 行 理 不 力 料, 料便, 料便, 料, CPU 料, 便 料, CPU 行 理 5 料 索 了 便 錄 讀 錄 度 量 量 6 (Virtual Memory) 數 Windows Linux

More information