DC/DC LLC Reference Design Using the dsPIC DSC

Size: px
Start display at page:

Download "DC/DC LLC Reference Design Using the dsPIC DSC"

Transcription

1 采用 dspic DSC 实现直流 / 直流 LLC 参考设计 作者 : 在当今众多的直流 / 直流转换器拓扑中, 设计人员通常会权衡各种不同需求而选择一种折衷方案 通常来说, 设计过程中追求的重要特性包括效率 功率密度 ( 即转换器的尺寸 ) 和成本 本应用笔记提出的谐振转换器 ( 尤其是 LLC 谐振转换器 ) 具有上述所有特性, 从而实现外形紧凑且具有高效率的设计 它们能在非常宽的输入电压范围内对输出电压进行稳压, 有助于降低系统总体成本 这些部件的架构相对简单, 因此可以使用 Microchip 的低引脚数 dspic DSC 执行控制和监控 / 管理任务 通过 dspic DSC 器件的 DSP 功能还可以进行复杂控制 谐振转换器 Antonio Bersani Alex Dumais 和 Sagar Khare Microchip Technology Inc. 谐振转换器是种类繁多的转换器中的一种 使用谐振转换器的指导思想是设计高效的转换器, 同时基于脉宽调制 (Pulse-Width Modulation,PWM) 消除传统实现方法的常见缺点, 即高开关损耗 近几年来, 人们提出 实现并测试了许多不同的解决方案, 其中一些解决方案目前已广泛应用于各种商用产品 谐振转换器原理 谐振转换器的基本思想是使 MOSFET 工作于正弦电压或正弦电流下 选择的开关瞬间必须接近于正弦电压或电流的过零点 这样消耗的功率将非常小 大多数转换器中可使用的一种方法是设计 谐振开关 转换器 这种情况下, 在开关周围增加电抗元件 ( 电容和电感 ), 从而产生上述正弦电压或电流 几乎任何拓扑都可以利用此方法 ; 但是, 生成的网络需要更多元件, 而且整体改善情况与增加的复杂度并不相符 谐振拓扑主要有三类谐振转换器 : 串联转换器 ( 负载与谐振回路串联 ) 并联转换器 ( 负载与谐振回路并联 ) 串并联转换器 ( 谐振回路由串联和并联的转换器组合而成 ) 从更高的层面来看, 任何此类转换器的架构都可以按图 1 中所示进行描述 根据所选的类型, 谐振回路将包含图 2 中所示的其中一种电路 图 1: 谐振转换器高阶框图 V d 开关网络谐振回路整流器 R l DS01336ACN 第 1 页

2 图 2: 谐振回路类型 串联谐振回路并联谐振回路 LLC 谐振回路 MOSFET 损耗 图 3: 半桥电路 在更详细地讨论谐振转换器类别之前, 我们先回顾一下 MOSFET 在开关时产生损耗的过程, 我们将使用图 3 中表示半桥臂的简单电路来进行说明 每个开关元件还用一个反并联二极管表示, 该二极管可以是外部二极管, 也可以是内部二极管 对电路施加输入电压 (V d ) 并考虑产生的输出电流 (I 0 ) 下桥臂 MOSFET 最初处于导通状态, 在时间 t 0 关断 该 MOSFET 在时间 t 1 再次导通 图 4 显示了理想情况下的电压和电流波形 请注意, 阴影区域包含了在每个 PWM 周期都会重复出现的功率损耗, 这称为 硬开关 V d i t I o V ṯ 图 4: MOSFET 开关损耗 关断过渡 导通过渡 V t i t t 0 t 1 t 开关功率损耗 DS01336ACN 第 2 页

3 另一个与短时间切换高压和电流有关的问题是换向过程中 MOSFET 需要承受的 ( 过 ) 应力 换向速度过快很容易产生过电压和 / 或过电流 图 5 显示了 MOSFET 的电压和电流轨迹 ; 两个轴分别表示 MOSFET 上的电压和流经 MOSFET 的电流 在导通过渡期间, 电压几乎保持恒定且为最大值, 而电流则不断增加 ; 仅在最后时刻电压才降低至零 在关断过渡期间可观察到类似但方向相反的特性 ( 请参见图 4) 传统上针对此元件过应力的首选解决方案是使用缓冲电路, 它由 R C L 和二极管组成 缓冲电路对解决元件应力问题很有效, 但是它们通常是消耗能量的, 因此对节省能量没有帮助 图 6 显示了使用缓冲电路时的轨迹 总之, 最佳的解决方案是设法设计一种开关电路, 或使系统以这样的方式工作 : 在开关时间内,MOSFET 电压和 / 或电流尽可能接近零 这种限制将使开关功率损耗 ( 消耗功率 ) 非常小 图 7 显示了相应的轨迹 图 6: i t I o 导通 使用缓冲电路时的 MOSFET 过渡轨迹 SOA 关断 V d V t 图 5: MOSFET 过渡轨迹 导通 SOA 图 7: 使用软开关时的 MOSFET 过渡轨迹 i t i t SOA I o I o 关断 V d V t V d V t DS01336ACN 第 3 页

4 LLC 转换器 更具吸引力 ( 在电路简单性和整体效率方面 ) 的一种方法是 负载谐振 转换器的设计 典型电路由半桥或全桥转换器以及可以连接负载 ( 串联或并联 ) 的谐振回路构成 谐振回路将强制产生正弦电压 / 电流, 这样便可以再次使开关操作与电压或电流的过零点同步 因此可 自然地 减少开关损耗 下面将详细介绍此类转换器的工作原理 开关网络 ( 其 MOSFET 通过 PWM 信号驱动 ) 为谐振回路产生方波 但是, 由于存在谐振回路, 电路只能支持基波正弦波 在输出整流器的多个可能拓扑中, 有一种可用于整流正弦波形并获得所需的直流输出电压 这样便可在谐振拓扑中加入变压器作为并联电感, 从而允许在电隔离环境中工作 这些转换器的特点是具有两个工作频率 : 1. 开关频率, 由控制器件产生 (MOSFET 的工作频率 ) 2. 谐振回路的固有谐振频率谐振频率固定不变 ; 只要选择电路元件 ( 电容 电感和 / 或变压器 ), 开关频率便可以动态变化 这就是通过改变负载和输入电压来管理能量传送和控制输出电压的方法 开关频率和谐振频率之间的关系可转换为电压增益, 在后续章节中将对此进行演示 上面讨论的三种谐振转换器具有相同的基本工作方法, 使用每种转换器都会有一些优点和缺点 比较各种拓扑时要考虑的一个主要事项是它们在空载时表现出的特性 这些转换器的一个关键特性是转换器在空载情况下仍会有一些电流通过, 这是由电路的谐振性质决定的 此电流通常称为 谐振回路环流, 它在从输入到输出的能量传送过程中起不到正面作用 因此, 一个设计目标是使其尽可能小 此电流也是低负载情况下效率一般较低的原因, 因为它不依赖于输出负载电流, 或者对其依赖程度较低 三种谐振转换器拓扑 ( 串联 并联和串并联 ) 之间存在一些差异 在强调效率和缺陷时这些差异是显而易见的 串联谐振转换器 串联谐振转换器在开关频率远高于或低于谐振频率时会造成输出短路 这是因为在谐振时电路阻抗极小, 从而产生非常高的电流以致于损坏 MOSFET 串联谐振转换器的一个优点是可以空载工作, 因为在空载时谐振电路中将没有电流通过, 但它不能调节输出电压 串联谐振转换器在部分负载时的效率比满载时高 并联谐振转换器 并联谐振转换器对输出短路具有自保护能力 当并联谐振转换器运行在开关频率接近于谐振频率的开路中时, 可能会发生损坏 当负载电阻增加时, 并联谐振转换器的效率会下降 DS01336ACN 第 4 页

5 LLC 转换器应用 在使用 LLC 转换器的应用中, 可以利用以下两个主要特性 : 1. 开关损耗非常低 ( 高效 ) 2. 能够在各种负载和线路条件下控制输出电压在电信应用中,LLC 转换器被广泛用作交流 - 直流系统中 PFC 后面的直流 / 直流转换器 典型的 PFC 输出电压大约为 400V, 可以直接馈入 LLC 转换器 此类系统的一个典型要求是, 在去除交流电压后, 保证额定功率下的输出电压能够持续一段预定的时间 ( 称为保持时间, 通常为 20 ms) 原因是电信设备在关闭之前需要一些时间来执行后台处理功能 图 8 中显示了一种典型情况, 其中 PFC 输出电压 V pfc 被馈入 LLC 转换器, 其输出 (V o ) 用于对系统设备供电 在传统系统中, 直流链路需要一个大电容来使电压在保持时间内保持在额定输出值 这会直接影响系统尺寸和成本 如果使用能够针对非常广的输入电压范围 (80-100V 是合理范围 ) 调节输出电压的谐振转换器, 则可以将大容量电容替换为较小的电容 此类转换器的另一个典型应用情况是要求设备具有小尺寸和低高度的场合 在这种情况下, 主要要求小型设备具有非常高的效率, 还要去掉风扇并减少或省略散热片, 从而使设备能够适应小空间限制 例如, 平板电视 图 8: PFC 输出电压和直流 / 直流转换器要求的输出电压 保持时间 (20 ms) V pfc 400 V V o 注 : 系统必须至少在保持时间内保持标称输出电压 DS01336ACN 第 5 页

6 LLC 参考设计 图 9 显示了 Microchip LLC 谐振转换器参考设计的高阶框图 表 1 中汇总了设计规范 开关电路通过半桥拓扑实现, 这样输出电压将在 0V 到 V d = 400 V dc 标称值之间摆动 也可以使用全桥电路, 但半桥电路简单且元件数量少, 因此选择半桥电路 谐振回路由电容 电感和隔离变压器组成 第二个 缺少的 电感通过变压器磁化电感来实现 请注意, 有时第一个电感也可以 集总 在变压器中 这种配置将减小转换器的尺寸并降低成本和复杂性 另一方面, 采用同步整流器来提高系统整体效率 选择 200 khz 的开关频率主要是为了符合使用小型无源元件 ( 包括变压器 ) 的要求 尽管控制环的实现很复杂, 但仍为 dspic DSC 留出了足够的带宽来执行故障监视 温度监视和通信等辅助任务 此系统是完全数字化系统 在 dspic DSC 中应用 PID 实现闭环 ( 电压环 ), 这完全考虑了所有系统操作 ( 包括故障和温度监视 ) 系统需要两个低压电源 : 用于 MOSFET 驱动器的 12V, 以及用于 dspic DSC 和模拟元件的 3.3V 辅助电源电路在启动时 ( 以及在出现故障后恢复正常工作时 ) 使用, 它来自高压输入轨的 12V 输出 使用高效的降压转换器从 12V 获得为控制器供电所需的 3.3V 正常工作期间, 当初级 LLC 转换器运行时, 驱动器的 12V 电源直接来自于转换器输出电压, 该电压同时也是降压转换器的输入 辅助电源电路可以导通和关断 使用串联二极管对两个 12V 电源去耦 由于主要设计目标之一是高效, 因此在实现辅助电源电路时应使其功率消耗尽可能低 图 9: 参考设计高阶框图 输入滤波器 半桥直流 - 交流转换器 谐振回路和变压器 次级同步整流器 辅助电源 控制环 dspic DSC DS01336ACN 第 6 页

7 表 1 提供了参考设计规范 表 1: MICROCHIP 参考设计规范 规范 输入电压范围 输出电压 额定功率 标称谐振频率 标称开关频率 值 350 V dc 420 V dc 400 V dc,nom 12 V dc 200W 210 khz 205 khz 效率 95% ( 目标 ) 表 2 列出了设计中使用的 dspic 资源 表 2: 程序存储器 数据存储器 PWM ADC 比较器 使用的 dspic 资源 (dspic33fj16gs502) 资源 值 3.5 Kb 650 字节 双通道 四通道 单通道 DS01336ACN 第 7 页

8 LLC 电路分析和模型 图 10 显示了基本 LLC 模型, 该模型将用于推导出描述系统特性的公式 从图的左侧开始, 可看到以下几个阶段 : 1. 直流输入电压发生器代表输入电压, 通常约为 400 V dc 2. 开关电路开关网络以半桥电路的形式实现 ; 注意图中明确显示了反并联内部二极管 ( 或外部二极管 ) 和 MOSFET 的寄生输出电容, 因为它们对电路的工作和性能起着重要作用, 不可以忽略 3. 谐振回路此电路由三个元件组成 : 谐振电容 (C r ) 谐振电感 (L r ) 和变压器磁化电感 (L m ) C r L r 和 L m 是描述 LLC 转换器特性的三个要素 请注意, 磁化电感在此拓扑中起着积极作用, 它实际上是一个设计参数 由于这些电抗元件的存在, 网络中将产生两种谐振频率, 我们将在后面进行介绍 4. 理想变压器由于磁化电感已明确显示, 我们可以用理想模型来代替变压器 请注意, 这并不完全正确, 因为我们还应该展示初级和次级泄漏电感 虽然事实如此, 而且这些电感在变压器规范定义中起着重要作用, 但当前它们对于确定系统的整体行为并不重要, 因此将其从模型中去除 5. 整流器图中显示的是基于二极管的全波整流器 可以使用多种不同的拓扑 : 单二极管整流器 全波桥式整流器甚至同步整流器 本参考设计中使用的是后一种解决方案 但此时我们并不关心实现细节, 因此全波整流器对于计算已绰绰有余 6. 低通滤波器此滤波器通过单个电容 (C o ) 实现 7. 负载电阻 R o 代表输出负载 图 10: LLC 电路元件及各部分 直流输入 开关电路 谐振回路 理想 变压器 整流器 低通滤波器 负载 Q1 D1 C1 V dc D3 C r L V2 r Q2 D2 C2 L m C o V o R o D4 V in V sw (t) V rect (t) V o DS01336ACN 第 8 页

9 观察电路的不同阶段, 很明显接口 1 存在一个直流电压, 接口 2 ( 名义上 ) 存在一个方波, 接口 3 存在一个正弦波形, 最后在接口 6 存在一个经过整流的正弦波形, 它将提供直流电压 电路的工作过程非常复杂, 无法使用经典线性系统分析方法直接获得系统传递函数 我们采用的方法是关注谐振回路 谐振回路的传递函数是谐振频率周围的钟形曲线 因此, 将只在该频率传递正弦信号, 而所有其他频率 ( 谐波 ) 都将衰减 设计系统和选择谐振元件的原则是 MOSFET 的开关频率名义上等于谐振回路的频率 因此, 如果谐振回路 良好, 将只允许正弦波 传递到 输出 两个 MOSFET Q1 和 Q2 以互补模式工作, 使用固定的死区 ( 避免直通 ) 以及 50% ( 标称固定 ) 的占空比 电压 V2 在 MOSFET Q1 导通时等于 V dc, 在 Q2 导通时等于 0V 因此, 开关电路的输出 (v sw (t)) 是范围为 0 到 V dc 的方波 (50% 导通时间 ) 在下面的段落中, 将求出系统模型方程组, 从而导出输入电压与输出电压之间的简单关系 同样, 求方程组的过程基于以下考虑 : 由于存在谐振回路, 只应考虑基波频率下的电压和电流 在接下来的计算中, 将使用一些基本数学结果 实质上, 傅里叶级数广泛用于对方波进行分析描述 原因是通过它可将任意周期信号的解析式写为无穷多个正弦波形和 / 或余弦波形之和, 这些波形的频率与基波频率 ( 方波的频率 ) 及其谐波频率 ( 整数倍 ) 相等 我们只关注方波信号, 因为这是我们可以从输入开关电路中得到的 公式 1 显示了振幅为 A 的方波的傅里叶级数 公式 1: A 2 s( t) A 2 k1,3,5,... 1 sin(2kft) k 开关网络模型 考虑到图 10 中使用的约定, 开关电路输出端的电压是一个方波, 由公式 2 表达 公式 2: v sw 此电压是直流电压 (V dc /2)( 谐振电容 (C r ) 对其具有阻隔作用 ) 和无穷多个正弦波之和, 这些正弦波的基波是通过公式 2 计算得出的, 其中 k = 1, 如公式 3 中所示 公式 3: 公式 4 中显示了此正弦电压的峰值 平均值和 rms 值 公式 4: V 2 1 k dc t V sin(2kf t) dc 2 k1,3,5,... 其中, f sw 是 MOSFET 的开关频率 v sw 2Vdc, 1( t) sin(2f swt) V V sw, 1, pk V sw, 1, ave sw, 1, rms 2V 0 dc 2V dc sw 其中, sw = 开关电路输出端的电压 1 = 仅考虑基波项 pk = 最大平方值 ave = 平均平方值 rms = 均方根值 如前所述, 如果将谐振回路正确调整到开关频率, 谐振回路将对基波频率呈现有限阻抗, 而对所有其他谐波频率呈现无限 ( 实际上是非常大的 ) 阻抗 结果是谐振回路将流过正弦电流 由于这些电抗元件的原因, 电压和电流之间将存在相移, 如图 11 中所示 DS01336ACN 第 9 页

10 图 11: 谐振回路电流滞后电压 V sw,1 MOSFET Q1 导通时, 从输入电压发生器流出的直流电流可以按一个周期内谐振电流的平均值计算 结果如公式 6 中所示 公式 6: I dc 1 I cos 2 I t, 1, pk t,1, rms cos 因此, 谐振回路电流可由公式 5 表达 公式 5: I sw,1 it, 1 It,1, pk sin(2f swt ) 其中, t = 谐振回路 1 = 基波值 pk = 峰值 = 电压与电流之间的相位延迟 利用公式 3 公式 5 和公式 6 可以用图 12 的模型代替 实际的 输入电流 左侧电路表示输入 : 电压来自于发生器 (V dc ); 如图所示, 从电压源流出的电流是谐振电流的平均 ( 直流 ) 值 右侧部分表示驱动谐振回路的等效电路, 我们只关注基波频率下的正弦信号 现在我们还可以确定平均输入功率, 如公式 7 中所示 : 公式 7: P 2 V 2 dc, ave dc t,1, rms I cos 图 12: 输入开关电路模型 i t, 1 = I t 1 pk,, sin2f sw t V dc v sw,1 t = 2V DC sin2f sw t 2 I DC = I t,1,rms cos DS01336ACN 第 10 页

11 谐振回路 实际变压器用其模型代替, 其中明确显示了磁化电感 (L m ) 初级和次级的泄漏电感反而将被忽略( 对本讨论不重要 ) 根据之前介绍的只关注基波频率下正弦波形的假设, 可以得出图 13 中谐振回路的传递函数 (H(s)), 图中的输出电路已被替换为等效电阻 (R e ), 我们将在下一节中计算其值 考虑图 14, 可以计算输入阻抗 Z in (s), 如公式 8 中所示 公式 8: Z s (s) 和 Z p (s) 分别是串联支路和并联支路的阻抗, 公式 9 和公式 10 对它们进行扩展, 公式 11 中显示了计算过程 公式 9: 公式 10: Z s s = sl sc r r Z in s = Z s s Z p s Z p s = n 2 R e sl m 如果我们认识到电路元件可以集总到串联阻抗 (Z s :C r 和 L r ) 和并联阻抗 (Z p :L m 变压器和输出负载 ) 中, 则可以很容易地计算出电路传递函数 公式 9 到公式 11 显示了详细计算过程 公式 11: 1 2 Z in ( s) Z s ( s) Z p ( s) slr ( n Re ) sl sc 其中, n 2 是变压器匝数比, 如图 15 中所示 r m 图 13: 谐振回路及其传递函数 C r L r 理想变压器 n:1 L m R e V sw,1 V rect,1 传递函数 DS01336ACN 第 11 页

12 图 14: 用于计算输入阻抗的谐振回路 C r L r 理想变压器 n:1 Z in (s) L m R e 图 15: 谐振回路及其等效电路 C r L r Z in (s) L m n 2 R e DS01336ACN 第 12 页

13 图 13 的电路可以重新绘制为图 16 图 16: 用于计算传递函数的谐振回路 Z s Z p V sw, 1 V rect,1 在谐振回路中, 由于只有基波正弦波形具有相关振幅 ( 所有其他波形均被谐振回路传递函数衰减 ), 因此可在基波频率下定义传递函数, 如公式 12 中所示 公式 12: V H ( s) V rect,1, rms sw,1, rms Z p Z Z s p 2 1 ( n Re ) sl n Z 其中, V rect,1,rms = 变压器次级侧电压的均方根值 in m 整流器模型 再次说明, 在变压器次级, 由于谐振回路的原因, 变压器本身将流过正弦电流 (i rect (t)) 此电流将根据其符号交替流过两个二极管 D3 和 D4, 如图 17 和图 18 中所示 检查电路时, 可以通过此电流计算变压器次级的电压值 实际上, 根据图 17 和图 18, 可以观察到以下情况 : 如果电流为正, 则 D3 导通, v rect (t) = V o 如果电流为负, 则 D4 导通, v rect (t) = -V o V sw1,rms = 谐振回路输入端电压的均方根值 在这两种情况下, 下标 1 表示仅考虑基波频率分量 因数 (1/n) 取决于次级电压与初级电压的比值, 如公式 13 所表达 公式 13: v 1 n secondary v primary DS01336ACN 第 13 页

14 图 17: i r (t) > 0 时的次级电路 i r (t) D3 V o,sw C o R o i r (t) > 0 V rect V o D4 图 18: i r (t) < 0 时的次级电路 D3 C o R o V rect V o i r (t) < 0 V o,sw D4 i r (t) 以 0V 为中心考虑此方波的傅里叶级数, 可以写出其分析等式 ( 整流器输入端 ), 如公式 14 所示 公式 14: v rect 4 ( t) V o k1,3,5,.. 基波分量如公式 15 所示 1 sin(2kf k 其中, 是相对于输入电压 (v sw (t)) 的相位滞后 sw t ) 公式 15: v rect 4, 1 ( t) V o sin(2f swt ) 此电压的平均值和 rms 值如公式 16 所示 公式 16: V rect, 1, pk V rect, 1, ave 4Vo V rect, 1, rms V o DS01336ACN 第 14 页

15 如图 19 所示, 变压器输出端的正弦电流 i rect,1 (t) 由二极管整流, 因此流过二极管之后的电流值是等式 17 的结果 图 19: 整流器输入端的电流 irect,1 (t) i rect (t) D3 i rect (t) = i rect,1 (t) t C o R o V o D4 i rect,1 (t) t 公式 17: 公式 19: i ( t) irect, 1( ) rect, 1, out t i rect, 1, out I rect,1, pk sin(2f swt ) 整流器输入端的电流如公式 18 所表达 ( 仅基波频率 ) 公式 18: i rect, 1( t) I rect,1, pk sin(2f swt ) 公式 19 显示了整流器输出电流, 公式 20 显示了此电流的平均值 公式 20: 2 I rect,1, out, ave Io I rect,1, pk 其中, I o 是流入负载 ( 电阻 R o, 如图 20 中所示 ) 的平均 ( 直流 ) 电流 DS01336ACN 第 15 页

16 总结 : 在次级存在一个基波项如公式 15 所示的方波电压, 以及一个正弦电流 ( 公式 18 到公式 20) 这里的重点是这两个信号彼此同相, 这意味着在电阻中它们的电压和电流之间的关系相同 因此, 可以使用阻值适当的电阻来替代整流器工作 等效电阻值可以根据公式 16 和求出 I rect,1,pk 的公式 20 计算得出, 如公式 21 所示 公式 21: R e v rect,1 t = = i rect,1 t 4V o I o 8 = R 2 o 整流器模型如图 20 中所示 图 20: 整流器模型 i rect,1 t = i rect,1,pk sin2f sw t I 2 o = --I rect,1,pk v rect,1 t = --V 4 o sin2f sw t R e V o R o DS01336ACN 第 16 页

17 转换器模型 此时, 图 10 中的转换器模型的所有部分均已确定, 各部分的传递函数亦计算得出, 因此可以确定转换器的总传递函数 将图 12 图 13 图 14 图 15 和图 20 的框图连接在一起可获得整个模型, 如图 21 中所示 由于电路元件是在仅认为基波频率信号重要的情况下定义的, 因此模型通常称为一次谐波近似 (First Harmonic Approximation, FHA) 可参考图 21 并通过公式 22 确定整体 I/O 关系, 即输出 输入电压比 ( 这两个电压是直流值 ) 公式 23 引入了 电压转换比 的定义 结果如公式 24 中所示 M(f sw ) 取决于多种不同的参数, 我们将在 电压转换比 一节中进行分析 图 21: 整体 LLC 转换器模型 C r L r n:1 V dc L m V o R e H(s) 公式 22: V V o dc V V o rect,1, rms V rect,1, rms V sw,1, rms V sw,1, rms V dc 2 V o 2V o H ( s) 2V V dc dc 1 H ( s) 2 公式 23: 公式 24: M ( f sw ) n H ( s) V V o dc M ( f 2n sw ) DS01336ACN 第 17 页

18 电压转换比 在继续讨论之前, 必须先定义几个稍后将用到的关系 LLC 电路具有两个谐振频率 : 一个 (f r ) 由于存在 L r 和 C r 而产生 ( 见公式 25); 另一个 ( 见公式 26) 由于还存在 L m 而产生 但此后提到谐振频率时将始终指 f r 公式 25: 另一个谐振频率如公式 26 中所示 公式 26: 它用于定义归一化频率, 该频率是电流频率 (f) 与谐振频率 (f r ) 的比值, 如公式 27 中所示 公式 27: f r2 f r 1 2 L C 1 2 L L n f r f f r r r m Cr 之后, 所有频率值都被认为是归一化频率 此外, 为方便起见, 我们对以下几项进行定义 : 电感比 ( 见公式 28) 特性阻抗 ( 见公式 29) 以及品质因数 ( 见公式 30) 公式 28: 公式 29: 公式 30: 使用公式 12 和公式 23 可以计算出电压传送比的分析表达式 结果如公式 31 中所示 公式 31: Z o L L L C Z Q R o e r r r m 1 2f C r 2 Zo 2 8n I V r out out M ( f ) f ( f,, Q) sw n 换句话说, 传送比是归一化频率与谐振元件值的复数函数 此外, 对于特定设计, 必须先计算出所有参数, 才能得出 M(f sw ) 函数 但是, 可以考虑以单个参数 和 Q 生成一系列 M 曲线 图 22 表示了这样一条曲线 在此图中, 清楚地显示了 f r 谐振频率 另一个谐振频率 (f r2 ) 是左侧 ( 低频 ) 出现高峰值的原因 图 23 绘出了 Q=0.2( 固定值 ) 以及 在 0.1 到 0.9 之间变化且步幅为 0.1 时的一系列曲线 图 24 绘出了 =0.2( 固定值 ) 以及品质因数 Q 在 0.1 到 0.9 之间变化且步幅也为 0.1 时的曲线 DS01336ACN 第 18 页

19 图 22: 电压转换比 M(f) 3,5 3 2,5 2 1,5 1 谐振频率 0,5 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 图 23: 作为参数时的电压转换比 M(f) L=0,1 L=0,2 L=0,3 L=0,4 L=0,5 L=0,6 L=0,7 L=0,8 L=0, ,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 DS01336ACN 第 19 页

20 图 24: Q 作为参数时的电压转换比 M(f) 3,5 3 2,5 2 Qz@0,1 Qz@0,2 Qz@0,3 Qz@0,4 Qz@0,5 Qz@0,6 Qz@0,7 Qz@0,8 Qz@0,9 1,5 1 0,5 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 关于这些图有几点说明 显然, 随着 的增大, 曲线越来越尖锐 ; 而 Q 不断增大时, 曲线越来越平坦 转换器设计为在标称输入电压下以谐振频率工作 根据公式 24, 转换器的工作方式以公式 32 表示, 求解后如公式 33 所示 公式 32: M ( f r V ) 2n V o, nom in, nom 1 图 25 绘出了电路输入阻抗 ( 公式 11 中定义的 Z in ) 在此图中, 部分区域突出显示, 这是频率轴中 (f f n 时 ) 输入阻抗呈现正斜率的一部分 请记住, 电感的传递函数具有同样的行为, 我们可以确定输入电路和正弦输入电压波形将 LLC 电路看作电感 这对于转换器实现非常小的 ( 导通 ) 开关损耗来说极其重要, 我们将在后面的章节进行介绍 相反地, 图最左侧的 Z in 的斜率为负, 这表示系统具有电容特性 这也表示 Z 曲线上的一个点在容性和感性等效特性之间定义了一个边界 可以看出, 如果是复数函数, 输入阻抗 Z in 的结果为公式 34 公式 33: 公式 34: V n 2V in, nom o, nom Z in f ( f,, Q) n 观察图 23 和图 24 中的曲线, 可以看到一个基本特性 : 在任何情况下, 所有曲线都始终在同一点 ( 对应于 f n = f r, 即谐振时 ) 互相交叉 ; 该点处的电压转换比为 1 ( 在使用建议的匝数比时 ) 这意味着这样选择 n 将保证在标称条件下, 无论系统当前的特定 M 曲线如何, 都将产生正确的输出电压 DS01336ACN 第 20 页

21 图 25: 输入阻抗 100 Z n ,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 因此对于电压增益, 可以使用 和 / 或 Q 作为参数绘制一系列曲线 对于每条曲线, 都可以确定之前所述的转换器从容性变为感性的分界点 所有这些点组成一个轨迹, 在图 26 中用蓝色线表示 在低于谐振频率 ( 即 f < f n ) 的频率范围内, 转换器在此曲线右侧的区域 ( 图 26 中的阴影区域 ) 中呈感性 显然, 对于低于谐振频率的频率, 工作点必须在此区域内 可以确定 M 平面中有效工作区域的第二个限制, 从而推理出负的 Q 值没有任何意义 因此,Q 的最小允许值为 0 此外, 在这种情况下也可以绘制增益曲线 这样有效区域便如图 27 中所示 两个可能区域的相交部分如图 28 中所示 还可以确定两个其他限制, 它们取决于最小和最大输入电压 在公式 31 中使用两个极端电压, 可以推导出以下两个关系, 如公式 35 和公式 36 所示, 图形如图 29 中所示 公式 35: 公式 36: M M f min ( ) 2 f max ( ) 2 V n V V n V in,max 考虑先前定义的所有限制, 转换器可在图 30 的突出显示的区域 (f < f n ) 中工作 o o in,min DS01336ACN 第 21 页

22 图 26: 容性和感性区域之间的限制以及低于谐振频率的可接受区域 3,5 3 2,5 2 1,5 1 0,5 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 图 27: 用 Q 0 划定边界的低于谐振频率的可接受区域 3,5 3 2,5 2 1,5 1 0,5 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 DS01336ACN 第 22 页

23 图 28: 具有感性特性且 Q > 0 的有效 M 平面区域 3,5 3 2,5 2 1,5 1 0,5 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 图 29: 最小和最大可接受增益 3,5 3 2,5 2 Mmax 1,5 1 0,5 Mmin 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 DS01336ACN 第 23 页

24 图 30: 整个 M 平面可接受区域 3,5 3 2,5 2 Mmax 1,5 1 0,5 Mmin 0 1,00E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 DS01336ACN 第 24 页

25 LLC 转换器的运行 MOSFET 导通时零损耗 在更详细地讨论转换器在谐振频率 低于谐振频率和高于谐振频率时的运行情况之前, 考虑谐振回路在施加电压方波时所发生的变化十分有用 如前所述, 这会产生一个正弦电流波 一般来说, 在出现电流 - 电压波形时, 存在两种可能的相对位置情况 如果电流超前于电压, 则呈现电容特性 但这不是我们关注的内容 相反, 如上所述, 我们将系统运行在使其对谐振输入电流呈感性的电压转换比 M(f) 的区域内, 即电流滞后于电压 图 31 显示了本节将考虑的电路, 该电路只是半桥电路的开关部分 如果谐振回路 ( 两个电容之间的中点 ) 中存在谐振电流, 则将谐振电流定义为正 D1 和 D2 C1 和 C2 是系统的等效集总元件 图 31: 用于研究 MOSFET 导通特性的电路 图 32 显示了 MOSFET 栅极波形和谐振电流 在时间 t 0, 电流为负并流入电路, 如图 33 中所示 暂时假设开关尚未导通 输入电流按指示的路径流过上桥臂二极管 D1 这意味着开关两端的电压非常接近于零 开关工作时产生的损耗非常小 因此, 开关可以在间隔 t 0 到 t 1 内的任意时刻工作 : 损耗将始终非常小 在间隔 t 1 到 t 2 期间, 开关 Q1 导通, 电流通过该开关 ( 图 34) 在时间 t 2, Q1 关断 电流为正并且可以很容易地通过下方的二极管 D2( 假设下桥臂的开关不立即工作 )( 图 35) 同样, 下桥臂的 MOSFET 两端的电压将接近于零并可以进行无损耗换流 事实上, 就 Q1 而言, 下桥臂的开关可在此间隔内的任意时刻导通 MOSFET 的理想导通时间是 MOSFET 漏源极之间的电压为零时 然后, 在间隔 t 3 到 t 4 期间内, 下桥臂的 MOSFET 导通, 如图 36 中所示 Q1 D1 C1 V dc Q2 D2 C2 DS01336ACN 第 25 页

26 图 32: 电压和电流波形 Q1 Q2 t 0 t 1 t 2 t 3 t 4 t 谐振电流 DS01336ACN 第 26 页

27 图 33: 时间间隔 t 0 到 t 1 : 谐振电流可以流过二极管 图 35: 时间间隔 t 2 到 t 3 : 谐振电流可以流过二极管 Q1 D1 C1 Q1 D1 C1 V dc V dc Q2 D2 C2 Q2 D2 C2 i t i t 图 34: 时间间隔 t 1 到 t 2 : 谐振电流流过上桥臂开关 图 36: 时间间隔 t 3 到 t 4 : 谐振电流流过下桥臂开关 Q1 D1 C1 Q1 D1 C1 V dc V dc Q2 D2 C2 Q2 D2 C2 V dc V dc DS01336ACN 第 27 页

28 谐振时的电路工作情况 本节介绍 LLC 转换器在谐振时的工作情况, 此时 MOSFET 的工作频率与通过选定元件设定的谐振频率相同 请参见图 37 到图 42 中的电路图以及图 43 中最重要的波形图 请注意, 对于每个 MOSFET 都增加了反并联二极管 ( 内部二极管或外部二极管 ) 以及寄生电容 C1 和 C2 因为它们在系统特性方面起着积极作用 还要注意, C1 ( 以及 C2) 集总了电路中的 MOSFET 漏源极电容和任何其他杂散电容 最后一个注意事项与次级侧有关 在本讨论中, 我们将使用二极管作为整流电路 ( 而参考设计采用了同步整流器 ), 因为这将使电路的工作情况清晰明了, 并有助于确定次级同步 MOSFET 开关时间的要求 在下面的章节中, 分析将扩展到高于谐振频率和低于谐振频率的情形 图 43 显示了以下信号 : A: 上桥臂 MOSFET Q1 的栅极信号 B: 下桥臂 MOSFET Q2 的栅极信号 C: 初级侧流过的电流 ; 有两个分量 : 磁化电流 ( 红色虚线 ) 和谐振电流 ( 黑色实线 ) D: 上桥臂 MOSFET Q1 的漏源极电压 ; 注意, 这也是电容 C1 两端的电压 E: 下桥臂 MOSFET Q2 的漏源极电压 ; 注意, 这也是电容 C2 两端的电压 F: 二极管 D3 中流过的次级侧电流 G: 二极管 D4 中流过的次级侧电流以相邻时间间隔对电路工作情况进行分析 一般来说, 初级电流由两个分量组成 : 磁化电流 (i m (t)), 它对向转换器输出端进行的能量传送不起作用 ; 谐振电流 (i t (t)), 它负责能量传送 ( 图 37) t < t 0 :( 图 38)Q1 关断 ; Q2 导通 ; D3 关断 ; D4 导通这是分析的初始情况 Q2 导通并将在时间 t 0 关断 上桥臂电容 C1 上的压降为 V dc t 0 < t < t 1 :( 图 39)Q1 关断 ; Q2 关断 ( 死区 ); D3 关断 ; D4 关断 由于两个开关均关断, 因此 V dc 不向电路提供任何能量 唯一流入初级的电流是磁化电流, 该电流在此时间间隔内保持恒定 (i m (t)) 此电流对两个 MOSFET 电容的作用不同 : 对 C1 放电, 对 C2 充电 此处的一个关键点是磁化电流必须足够大, 才能在死区结束之前完成电容的充电 / 放电工作 设计人员选择的元件和死区长度必须能够满足此要求 在 t 1,C2 的电压将稍大于 V dc,c1 的电压为微弱负值, 这将使 D1 开始导通 在次级, 二极管 D3 和 D4 均关断, 输出电容 C o 为输出端供电, 以维持电压 V o t 1 < t < t 2 ( 图 40)Q1 关断 导通 ; Q2 关断 ; D3 关断 导通 ; D4 关断正如上面的预期, 二极管 D1 开始导通 其压降非常接近于零 MOSFET Q 1 可以在此间隔内的任意时间导通 : 其压降几乎为零, 因此导通损耗非常接近于零 从而实现零电压开关 (Zero Voltage Switching,ZVS) 当只有 D 1 导通时, 初级电流再次为磁化电流, 该电流不参与能量传送 但是只要 Q1 导通, 输入发生器 V dc 就会提供要传送到输出端的能量 请注意, 在此时间间隔内, 谐振电流为负, 这表示该电流会流入 V dc t 2 < t < t 3 ( 图 41)Q1 导通 ;Q2 关断 ;D3 导通 ; D4 关断这是进行能量传送的前半个周期 从波形图可以看出, 初级电流的两个分量均有意义 磁化电流是由反射回初级的次级电压 (V o ) 产生的 此电压直接施加到 L m 两端, 因此可计算磁化电流 ( 如公式 37 所示 ), 它显示了线性特性 公式 37: 图 43 中的 I( 波形 C) 显示了对能量传送有效的电流量 谐振电流由公式 38 表达 公式 38: i nv t) im ( t ) L m ( 1 m o t i ( t) 2I, sin(2f t ) t P rms r DS01336ACN 第 28 页

29 请注意在谐振时, 谐振电流在时间 t 3 完全等于磁化电流 这便是 Q1 关断时流入 Q1 的电流 此电流应尽可能小, 以减少关断开关损耗 遗憾的是, 对磁化电流有两个相互冲突的要求 第一个要求 ( 见间隔 t 0 到 t 1 的说明 ) 是磁化电流在死区长度内需要足够大, 以对寄生电容进行充电 / 放电 由于公式 37, 这意味着 L m 的值要小 第二个要求是 i m (t 3 ) 应尽可能小, 以降低损耗 ( 这意味着 L m 的值要大 ) 设计人员必须作出某种权衡 一种可行的方法是选择相当大的 L m 值, 优先满足 ZVS 要求 t 3 < t < t 4 :( 图 42)Q1 关断 ; Q2 关断 ( 死区 ); D3 关断 ; D4 关断 电路特性与前面的死区间隔互补 同样, 唯一流入初级的电流是磁化电流, 且基本上保持恒定 此电流一分为二, 对 C1 充电同时对 C2 放电 在此期间, 电压 v ds1 (t) 增至稍大于 V dc, 同时 C2 的电压降至稍小于 0V 采用与上一死区间隔相比对称的方法, 这将使 D2 开始导通 在 t 4 到 t 7 间隔内, 电路的工作情况与前半个周期相反 关于图 43 有最后一点说明 信号 F 和 G 表示次级电流, 其振幅用垂直的蓝线以图形方式表示 图 37: 显示了磁化电流和谐振电流的 LLC 电路 Q1 D1 C1 D3 V dc i t C r L r Q2 D2 C2 L m V p V s1 C o V o R o i m V s2 D4 DS01336ACN 第 29 页

30 图 38: 时间间隔 t < t 0 的 LLC 电路 V dc Q1 D1 C1 V ds 1 C r L r D3 Q2 D2 C2 L m V p C o V o R o V s2 D4 图 39: 时间间隔 t 0 到 t 1 的 LLC 电路 V dc Q1 D1 C1 V ds1 D3 C r L r Q2 D2 C2 L m V p V s1 C o V o R o V s2 D4 DS01336ACN 第 30 页

31 图 40: 时间间隔 t 1 到 t 2 的 LLC 电路 Q1 D1 C1 D3 V dc C r L r Q2 D2 C2 L m V p V s1 C o V o R o V s2 D4 图 41: 时间间隔 t 2 到 t 3 的 LLC 电路 Q1 D1 C1 D3 V dc Q2 D2 C2 V ds2 C r L r L m V p V s1 V s2 D4 C o V o R o DS01336ACN 第 31 页

32 图 42: 时间间隔 t 3 到 t 4 的 LLC 电路 V dc Q1 D1 C1 Q2 D2 C2 V ds1 C r Lr L m V p V s1 D3 C o V o R o V s2 D4 图 43: 相关 LLC 波形 t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 Q1: 栅极驱动 t A Q2: 栅极驱动 t B i t (t),i m (t) I t C V dc V ds1 (t) t D V dc V ds2 (t) t E i d3 (t) t F i d4 (t) t G DS01336ACN 第 32 页

33 低于谐振频率时的电路工作情况 通过公式 39 计算低于谐振频率时的电路工作情况 公式 39: 高于谐振频率时的电路工作情况 通过公式 40 计算高于谐振频率时的电路工作情况 公式 40: f sw f r T sw T r f sw f r T sw T r 电路特性基本上与谐振时相同 但也存在一些显著的差异直接影响次级侧的开关特性 图 44 了显示相关波形, 应将其与图 43 进行对比 由于谐振回路正弦基波的周期比开关周期短, 因此谐振电流在前半个周期结束之前将等于磁化电流 图 44 中突出显示了这一点 从该点开始, 流入初级的电流只有磁化电流 需要注意的是, 在次级使用二极管时, 它们将在恰当的时间 ( 电流变为零时 ) 停止导通 但在同步实现中, 二极管被 MOSFET 替换, 必须正确驱动其栅极 设计人员必须找到一种策略来确定关断次级 MOSFET 的准确时间 目前已开发出多种不同的技术, 但通常都需要某种间接电流检测操作 ( 例如测量 MOSFET 本身两端的压降 ) 电路特性在某种程度上与低于谐振频率时的工作状态相反 请参见图 45 由于谐振周期比开关周期长, 因此在开关过程的半个周期结束时, 谐振电流的值大于磁化电流 在死区内, 谐振电流快速降至磁化电流的值, 以开始新的半个周期 很明显, 在高于谐振频率时, 同步开关与初级开关可以同时导通和关断 这使得其控制非常简单 从前面的讨论可明显看出, 次级 MOSFET 的控制取决于开关频率与谐振频率的相对值 固件负责管理此问题 图 44: 低于谐振频率时的电路特性 t 0 t 1 t 2 t 3 t 4 t 5 t 6 Q1: 栅极驱动 t A Q2: 栅极驱动 t B i t (t),i m (t) t C V dc i d3 (t) t D i d4 (t) t E DS01336ACN 第 33 页

34 图 45: 高于谐振频率时的电路特性 t 0 t 1 t 2 t 3 t 4 t 5 t 6 Q1: 栅极驱动 t A Q2: 栅极驱动 t B i t (t),i m (t) t C V dc i d3 (t) t D i d4 (t) t E DS01336ACN 第 34 页

35 同步整流 如前所述, 设计次级侧时使用了同步整流器, 而未使用二极管 如此选择的原因是为了降低次级的导通损耗 二极管的功率损耗分为两部分 : 正向电阻 (R f ) 导致的损耗以及二极管正向电压导致的损耗 因此, 二极管总损耗可按公式 41 所示计算 公式 41: 如果将二极管替换为 MOSFET 来用作开关, 则损耗如公式 42 所示 公式 42: 2 loss, diode VF I D, ave RF I D, rms P 其中, V f 为二极管正向电压 I d,ave 和 I d, rms 分别为正向二极管电流的平均值和 rms 值 R f 为二极管正向电阻 ( 通常在几十 m 的范围内 ) P loss, MOSFET P conduction 其中, P conduction 为导通损耗, 换言之 : P switching 而传统方法需要自举电路, 因为 N 沟道 MOSFET 的栅极电压需要高于源极电压才能工作 但在导通时, 源极电压和漏极电压基本相同 因为在这种情况下, 栅源极电压 (V gs ) 不能大于阈值电压 (V th ), 因此 MOSFET 无法正常工作 全波二极管桥常用于高输出电压和低输出电流的应用 参考设计硬件概述 LLC 参考设计在逻辑上可分为两部分 : 转换器本身和辅助电源 转换器又分为初级部分和次级部分, 两部分之间通过谐振变压器进行隔离 用于控制所有能量传送和监控操作的 dspic DSC 位于次级侧 这样可轻松实现电源管理通信并简化电源与接地连接 标称开关频率 ( 以及谐振频率 ) 已选择 200 khz, 这是为了能在一侧采用高速小型无源元件, 而在另一侧实现复杂控制环和辅助功能所做出的合理权衡 图 46 显示了 LLC 转换器的高阶框图 图 47 显示了完整电路的高级表示, 其中 : 高电压连接用加粗黑线突出显示 为元件供电的 12V 电压轨迹用蓝色绘制 3.3V 电压轨迹用绿色绘制肖特基二极管用于将反激电路产生的 12V 与 LLC 转换器产生的 12V 隔离 2 P conduction I MOSFET, rms RDS, on P switching 为开关损耗 有趣的是, 在 LLC 转换器中, 次级开关始终处于工作状态, 尽管其中流过的电流为零 请参见图 43 中的曲线 G 和 H, 以及图 44 和图 45 中的曲线 D 和 E 如前文所述, 只有在开关频率低于谐振频率时, 才能关断具有非零电流的次级开关 设计人员有责任找到正确的策略来保证此类事件不会发生 就可能的拓扑而言, 设计人员可在一些很常见的拓扑中选择 : 全波整流器, 二极管桥 全波整流器, 中心分接本参考设计中使用的是后一种解决方案 通常, 中心分接与次级接地端连接, 但在本参考设计中与正向输出端连接 其原因是, 此类拓扑允许两个 MOSFET 的源极都接地, 如原理图所示 这使得驱动电路更为简单, 因为不需要任何类型的自举电路 DS01336ACN 第 35 页

36 DS01336ACN 第 36 页 2010 Microchip Technology Inc. 图 46: LLC 转换器高阶框图 直流输入 ( V) 半桥转换器 LLC 谐振回路 C r L r L m NCP1012 高电压隔离 CT TX 驱动器 TX 驱动器 TX 辅助电源 TX 光耦 12V 次级同步整流 运放 LM2651 降压开关 栅极驱动器 3.3V 低通滤波器 栅极驱动器 dspic33fj16gs502 温度 负载 辅助电源的 12V 输出只在启动时使用 之后, 输出电压为栅极驱动器和降压开关提供 12V 电源 I 2 C 通信 AN1336

37 图 47: LLC 转换器高阶框图 (D:MOSFET 驱动器 ; A: 放大器 ) MOSFET 谐振回路 MOSFET 反激转换器 ( 自动关断 ) A D D 同步 MOSFET 同步 MOSFET D D dspic DSC 开关稳压器 2010 Microchip Technology Inc. DS01336ACN 第 37 页

38 半桥 MOSFET 两个 MOSFET 的设计工作方式为将谐振回路输入端与输入高压轨 ( 标称 400 V dc ) 和接地端交替连接 在每个换向沿插入正死区以防止直通 采用这种工作方式, 半桥 MOSFET 不受任何特定导通应力的影响 由于最大输入电压定义为 450 V dc, 因此对于此类器件, 选择击穿电压在 V dc 范围内的 MOSFET 是安全的 两个 MOSFET 都会加上一个 10 k 栅源极电阻以防止由于噪声而导致 MOSFET 意外导通 还增加了串联电阻以控制 MOSFET 导通和关断的速率 如前文所述, 流入 MOSFET 的电流是磁化电流和谐振电流 在下面的段落中, 将介绍谐振电流的粗略计算 输出功率为 200W 假设转换器的效率为 95%, 得出的输入功率如公式 43 所示 公式 43: 最大平均输入功率如公式 44 所示 公式 44: 公式 45 显示了输入电流 公式 45: Pin Pout / / W I P in V rms I 2P V rms V in,min pk,max 为留出一些裕量, 我们可以设置 I pk = 2A 输入电容 C ISS ( 或者总栅极电容更合适 ) 是定义驱动器时的一个重要参数 : 电容越大, 对该电容充电 / 放电所需的电流就越大 详细信息, 请参见 硬件驱动器 一节 输出电容同样值得关注, 因为如前文所述, 此电容必须在死区内充电到 V in 或放电到零, 才能实现零电压开关 输出电容在 MOSFET 数据手册中以 C OSS 形式列出 ; 但是, 应将此值增大以适应会集总到输出电容中的杂散电容 I 2 210W 350V pk, max in in,min 2 A 表 3: 输入 MOSFET 参数概括 参数 值 击穿电压 650 V 连续电流 25 C R DSon, max 385 m 输入电容 (C ISS ) 典型值 790 pf 输出电容 (C OSS ) 典型值 38 pf 栅极总电荷 (Q g ) 典型值 17 nc 谐振回路 设计谐振回路时必须确定三个要素 :L m L r 和 C r 许多公式使这些值 ( 见公式 25 至公式 30) 彼此相关以及与所需转换器性能相关 通常, 系统的变量或参数比公式中多, 因此设计人员不得不根据经验或常识做出一些决定 这就是在选择元件时可以使用多种不同技术的原因 其中之一是检查电压比 M(f sw ) 的曲线图, 考虑其平面中之前已确定的限制和可用区域, 然后为 和 Q 参数选择适当的值 公式 46: 公式 47: M min 400 n V ( f ) 2n V o in,max 公式 48: V M max ( f ) 2n V o in,min 请参见图 48 获得已确定的两个值 (Mmin 和 Mmax) DS01336ACN 第 38 页

39 图 48: 本参考设计中实现的电压转换比 (M(f)) 和增益限制 1,4 1,2 Mmax 1 0,8 Mmin 0,6 0,4 0,2 0 1E-01 1,26E-01 1,59E-01 2,00E-01 2,52E-01 3,17E-01 3,99E-01 5,02E-01 6,32E-01 7,96E-01 1,00E00 1,26E00 1,59E00 2,00E00 2,52E00 3,17E00 3,99E00 5,02E00 6,32E00 7,96E00 在参考设计的实现中, 为 和 Q 参数选择的值为 : = 0.25, Q=0.5 做出这样选择的根本原因是相应的电压增益图支持所需的最大增益, 且允许一些裕量 ( 约 10%) 使用公式 29 和公式 30, C r 可以按以下公式计算 : 公式 49: C r I out = f r Qn 2 = 10nF * V out * 对于本参考设计, 选择了值 9.4 nf 通过对图 48 中的曲线图进行分析, 可得出一些附加信息 首先, 最小工作开关频率由 M(f) 曲线与 Mmax 曲线的交点确定 得出的值为 155 khz 同样地, 最大工作开关频率确定为 M(f) 曲线与 Mmin 曲线的交点 得出的值为 220 khz 有关实现 L m 和 L r 的一些附加注释 如前所述, 它们均由变压器实现 在实际的变压器中, 总磁化电感等于 L m 与 L r 之和 通过两项实验性测试可轻松地证明这一点 第一项测试包括在次级处于断路状态的情况下测量初级电感 : 在这种情况下, 测量将得出 L m L r 的值 第二项测试也包括测量初级电感, 但次级处于短路状态 测量值此时为漏电感 公式 50: 1 L r = = 67H 2 f r Cr 公式 51: L m L r = ---- = 270H DS01336ACN 第 39 页

40 图 49 显示了变压器的模型, 其中考虑了初级和次级漏电感 绕组电阻以及等效磁芯电阻 ; 这两项对确定变压器损耗很有用 所有次级相关项均已移动到初级, 以按照谐振转换器的需求确定这些电感值与 L m 和 L r 电感之间的关系 在图 50 中, 所有电感均集总在一起以简化模型 图 53 中的模型是首选模型, 因为 L r 和 L m 都是可测量的参数 R 1 : 初级绕组电阻 L li : 初级绕组漏电感 L m : 磁化电感 R m : 对磁芯损耗建模的电阻 ( 等于 v m 2 /R m ) L l2 : 反射到初级的次级绕组漏电感 L l2 = n 2 L l2, L l2 是次级绕组漏电感 R 2 : 反射到初级的次级绕组电阻, R 2 = n 2 R 2, R 2 是次级绕组电阻 图 49: 考虑漏电感和电阻的变压器模型 R 1 L i1 R' 2 L' i2 n:1 L m v m R m 图 50: 仅考虑电感的变压器模型 L r n:1 L m DS01336ACN 第 40 页

41 根据图 49, 初级端的总漏电感按公式 52 计算 公式 52: L pri L pri 可轻松确定, 方法为在次级绕组处于开路状态时测量初级电感 结果如公式 53 中所示 公式 53: 公式 53 所示的谐振电感按公式 54 计算 公式 54: 这两项计算的结果如公式 55 所示 公式 55: 可以定义变压器的增益, 如公式 56 所示 公式 56: L m L 公式 57 显示了变压器绕组比 r L m L pri ( 测量值 ) L r ( 测量值 ) L 2 l1 Lm n Ll 2 = 330H = 62H L m = L pri L r = 330H 62H = 268H L G m L r transformer = = H = H L m 得出的变压器在初级有 56 匝, 每个次级有 3 匝 变压器骨架为 ETD34, 磁芯材料为 3C90 预计损耗约为 2W 由于匝数比为 , 因此次级有 3 匝, 而初级有 56 匝 漆包绞线分别用在次级 ( 三条 40 规格的平行导线, 175 股 ) 和初级 ( 两条 40 规格平行导线, 10 股 ) 间隙约为 2mm 对于此变压器, 可能需要使用外部电感来提升变压器的漏电感 元件值和参数限制均汇总在表 4 中 表 4: 谐振回路参数汇总 参数 值 C r 9.4 nf L r 62 µh L m 268 µh Q 0.42 谐振频率 210 khz 硬件驱动器 主开关需要驱动器, 因为涉及高电压并需要换向速度 驱动器的选择取决于它的电流源输出能力 原因是 MOSFET 的栅极会呈现高电容值 此电容必须在一定时间内充电 / 放电, 此时间基本上取决于 MOSFET 本身的上升和下降时间 设计人员必须找到能够提供所有所需电流的驱动器, 以允许 MOSFET 快速转换 但是, 尽管快速的升降时间可减少开关损耗, 但同时也会增大 EMI, 并可能由于引线电感和电路电感而产生高频振铃 只要定义了上升 / 下降时间, 就可以通过电容的基本公式计算所需的峰值电流, 如公式 58 所示 公式 58: T 其中, V 是栅极电压 C 是栅极电容 rise ( or 或 T fall V C ) I 公式 57: n = = 18.5 DS01336ACN 第 41 页

42 由于密勒效应, C 值的确定方法为栅源极电容 (C GS ) 加上栅漏极电容 (C GD ) 因此 MOSFET 数据手册中将 C 作为 C ISS 参数是不正确的 相反, 通过考虑栅极总电荷 (Q g )(MOSFET 数据手册中另一个参数 ) 并利用电荷与电容之间的简单关系可计算 C 值, 如公式 59 所示 公式 59: Q g VGS C 这就是前一公式中必须使用的 C 值 显然, Q g 的值不是常数 毫无意外, Q g 取决于 V gs 电压 MOSFET 数据手册应该含有一个类似图 51 的图形, 在其中可确定当 V gs 和 V ds 值正确时有效的 Q g 值 在驱动器的输出端使用了两个不同的电阻, 以在上升沿和下降沿时为电流提供不同的路径 这会更改 MOSFET 的上升 / 下降时间 驱动器的输出信号通过一对信号变压器传递到初级侧 MOSFET 增加了隔直流电容以防止驱动变压器饱和 这些电容会消除直流偏置, 并以 50% 占空比产生约为 6V 的栅极电压 电流互感器 电流互感器用于采集流入初级侧的电流 如前文所述, 通过了解此值的含义也可了解次级侧电流 对 CT 的选择一直是个难题, 因为需要 4000V 的隔离 匝数比为 1:50 初级电压测量 在两个开关的公共点检测初级侧电压 使用高压电容来跨越电流隔离层 ; 在次级, 电阻分压器用于将电压幅度降至 dspic DSC 的模拟电压输入范围内 图 51: 典型 MOSFET 栅极总电荷 Vgs 曲线 DS01336ACN 第 42 页

43 同步整流器 变压器的输出是正弦波 加入同步整流器电路的目的是对正弦波进行整流以产生直流电压 同步整流器通过 MOSFET 开关实现 如前文所述, 正确选择这些 MOSFET 的关断时间可实现 ( 非常 ) 低的开关损耗 这样导通损耗将一直是主要功耗源 因此应选择 R DS,on 非常低的 MOSFET 导通时间不是问题, 因为 MOSFET 遵循初级开关的导通时间 表 5: 同步整流器 MOSFET 参数 击穿电压连续电流 R DSon, max 输入电容 (C ISS ) 输出电容 (C OSS ) 栅极总电荷 (Q g ) 值 30V 85A 5.8 m 2150 pf 480 pf 典型值 15 nc 电流放大器 使用增益为 2 的同相运放对通过电流互感器采集的初级电流信号进行缓冲和放大 输入端二极管构成一个全波整流器电路 ; 在输出端也添加了二极管, 以防止电路及后续级受瞬态高电压的影响 辅助电源 反激 系统正常工作时, 控制器 模拟部件和驱动器从主转换器输出获得电源 ; 使用独立高效的同步开关稳压器芯片从 12V 标称输出获得 3.3V 电压 但在两种情况下无法获得 12V 输出电压, 即启动和发生故障时, 这是 dspic DSC 为防止严重损坏而快速关断 PWM 的结果 辅助电源必须处理这些问题 另一个基本要求是将反激转换器的功耗降至最低 这有两个意义 : 可选择高效 3.3V 稳压器 实现能够在主转换器正常运行时自动关断的电路图 52 显示了反激电路的详细信息 接下来几节的说明均参考此图 输出滤波器 DCR 为 1.5 m 时电感为 4.7 µh 电容为 330 µf DS01336ACN 第 43 页

44 DS01336ACN 第 44 页 2010 Microchip Technology Inc. 图 52: 反激电路 C23 47uF/16V 自动关断 TP2 高压地 高压地 R23 330K VIN 控制器 R24 330K C41 3.3nF 1 VCC GND 8 3 GND GND 2 4 FB U10 C24 DRAIN 5 NCP1012-DIP7 高压地 缓冲电路 47uF/16V TP3 1 R53 100K/0.5W D23 高压地 3 TP1 2 4 高压地 R R U7 4 TX3 SFH617ADIP8 Q7 NDT3055 高压地 高压地 3 反激变压器 U9 HSR D18 数字地 C25 470uF/16V 数字地 反馈网络 R26 2.2K 输出滤波器 D17 AUX 数字地 C26 470uF/16V 数字地 R54 180R R45 4.7K L2 22uH D22 C32 100uF/16V 12V 12V AN1336

45 控制器 出于以下原因, 选择了 ON Semiconductor 的 NCP1012 器件 : 单块芯片 ( 几乎所有所需功能均已嵌入其中 ) 可承受高输入电压 ( 最大 700 V dc ) 可以从高电压轨获得自身电源 固定频率控制器 ( 已选择 100 khz), 实现电流模式控制 通过将反馈输入引脚与输出电压驱动的光电耦合器连接, 可轻松实现控制环 可以很容易地关断 采用内部保护策略 ( 短路保护 ) 反激变压器变压器设计规格取决于额定功率和控制器要求 计算匝数比时要考虑反激 MOSFET 断开时的反激特性 在反激初级侧应用基尔霍夫电压定律, 开关上的电压可计算为以下三项的和 : 输入电压 (V in ) 反射回初级的输出电压 (nv o ) 以及考虑瞬态电压过冲的附加裕量 (V x ) 此过冲电压如不处理则具有破坏性 为对其进行控制, 加入了一个缓冲电路 如前所述, 开关上的总电压如公式 60 所示 公式 60: V sw V in nv 其中,k 是大于 1 的某个值 为防止出现问题, 此电压当然必须小于元件的额定击穿电压 (700V) 对 (n) 求出此关系后, 匝数比确定为 n = 15 选择变压器电感值, 以使系统保持在不连续模式下工作, 这是芯片所要求的 依据 ON Semiconductor 器件数据手册, 可按公式 61 所示计算电感 o kv x 公式 61: D L f V I max inmin 7. 6 sw max uh 其中, D max = 0.5 是允许的最大占空比 V inmin 是最小输入电压 (340V) f sw 是芯片开关频率 I max 是芯片要求的最大峰值电流 ( 使用某个保护带, 此值已设置为 225 ma) 对于变压器设计, 必须注意以下事项 : 磁芯材料 : 主要取决于开关频率 制造商提供材料性能与频率之间的关系图 通过这些图来选择正确的材料 根据变压器应用的种类, 制造商通常还会提供一些选择最合适材料的附加指导 反激变压器中使用的材料为 N87( 取自 EPCOS 铁氧体和附件目录 ) 磁芯尺寸 : 主要取决于设计人员可接受的磁芯损耗和磁芯温升, 与总体设计成本有关 本设计选择了标准磁芯 (EPCOS 的 E20/16/6) 匝数 : 尽管反激转换器中的磁性元件通常被称为 电感, 但实际上它被制成变压器 这意味着我们现有的数据 ( 电感值和匝数比 ) 并不足以完整定义元件 为进一步继续, 选择了具有标准中心间隙的磁芯以使用现成部件 制造商可以制作客户特定的间隙, 但这必然会增加变压器的成本和交付期 引入间隙会更改变压器特性 为了使工作简单化, 制造商会提供一个参数 (AL), 指明对于特定磁芯加上一匝绕组的间隙可获得多少毫微亨 在本设计中, 根据制造商提供的特定器件, AL 接近于 100 nh, 因此计算出的初级匝数为 272 根据此值以及上面算出的匝数比, 我们还可得到次级匝数 (19) DS01336ACN 第 45 页

46 绕线尺寸 : 选择磁芯尺寸和间隙值 ( 取自 EPCOS 数据手册, cm) 后, 可按照初级匝数比与最大允许电流的函数来计算磁芯的最大磁通量 B, 得出 B max = 70 mt 变压器制造商通常会提供一张图表, 通过该图表可确定与此磁通密度对应的磁芯损耗 绕线的选择基于以下假设 : 特定磁芯损耗 ( 每体积单位 ) 等于特定绕线损耗 这是一种折衷方案, 通常可使变压器的温升保持在合理值范围内 由于绕线特定损耗是绕线尺寸的函数, 因此很明显这可以确定出初级和次级绕线的 AWG, 即初级 AWG = 33, 次级 AWG = 20 缓冲电路如前文所述, 在初级需要缓冲电路来控制 ( 限制 ) 开关时出现的电压过冲, 以此确保 MOSFET 上的总电压始终低于击穿电压 在这里, 计算出的最大允许过冲电压 ( 加上输入电压与反射回初级的输出电压 ) 约为 60V ( 包含一些附加裕量 ) 额外电压是与变压器初级串联的漏电感所致 应了解 ( 或至少是估计 ) 变压器漏电感才能继续进行计算 缓冲电路的工作方式是, 当升压过大 ( 接近开关击穿电压 ) 时, 产生升压的漏电感中存储的能量会通过二极管耗散到缓冲电阻中 反馈网络反激输出需要稳压在 12V V z =11V 的齐纳二极管不允许任何电流流入反馈路径, 直到输出超过 11V 此后, 通过两个电阻将齐纳电流设置为 300 µa 左右 利用基尔霍夫电流和电压定律以及所选光电耦合器输入特性, 可以确定两个电阻的值 (R1 = 4.7 k, R2 = 280 ) 光电耦合器输出可被认为是值与转换器输出电压成比例的电流发生器 此电流会在 ON Semiconductor 的内部电阻上产生压降 ; 此电压反馈最终会被 On Semiconductor 芯片在内部检测到并使用, 以控制流入反激初级绕组的峰值电流 自动关断电路如前所述, 辅助电源电路的主要设计目标之一是, 在没有有效需求 ( 即主 (LLC) 转换器正常运行 ) 时降低功率 在此期间, 辅助电路的功耗完全是浪费, 并在总功率中占相对重要的百分比 在这种情况下, 大约是系统额定功率的 1% 至 1.5% 为解决此问题, 辅助电源电路已设计为 : 系统上电并经过预设时间后, 辅助电源关闭, 并将 ON 芯片反馈输入与地短接 延迟时间 ( 其间反激电路运行 ) 可通过两个电阻 (R23 和 R24) 进行设置 使用所选电阻时, 此延迟的标称值约为两秒 尽管这解决了反激转换器在正常工作期间被关断的问题, 但在发生故障时将导致严重问题 在这种情况下, dspic DSC 会关闭用于控制 LLC 转换器的 PWM, 输出电压降至零, 从而不再为 dspic DSC 供电 系统完全关闭, 但无法再次启动 要解决此问题, 需要 dspic DSC 器件的某种反馈 dspic DSC 必须从本质上 通知 辅助电源它要关闭 LLC 转换器 AUX 信号正是用于此目的 出现故障时, dspic DSC 本身在处理故障的同时还将 AUX 引脚驱动为高电平 此信号通过光电耦合器跨越隔离层, 重新启动反激控制器, 进而使电容 C24 放电 结果, dspic DSC 将会上电开始工作, 即使 LLC 由于某种原因而无法再次启动 例如, 这样做的一个重要优势是 dspic DSC 可将故障状况以及无法恢复转换器功能的情况传达给外部电路 DS01336ACN 第 46 页

47 辅助电源 同步降压转换器 参照图 53, 此电路用于为 dspic DSC 提供 3.3V 直流电压, 该电压来自于反激转换器或主 LLC 转换器的 12V 输入 本设计选择了 National Semiconductor 的 LM2651 器件, 因为其效率较高 在 75% 标称电流的情况下, 测得的效率约为 89% 开关频率为 300 khz, 允许使用小型无源元件 电路设计相当简洁, 因为只需加入几个元件 设计补偿电路时必须加以注意 实际上, 芯片提供了一个具有两个极点的开环传递函数 : 一个 (f p1 ) 在低频, 另一个 (f p2 ) 在高频, 两个极点之间存在一个零点 (f z ) 由 R21 C17 和 C18 组成的补偿网络与芯片的 COMP 引脚连接, 增加两个极点 (f cp1 和 f cp2 ) 以及一个零点 (f cz ): 第一个补偿极点 (f cp1 ) 在超低频, 大约为低频芯片极点 (f cp2 ) 的 1/10 补偿零点与第一个芯片极点 (f p1 ) 的频率相同 第二个补偿极点 (f cp2 ) 与芯片零点 (f z ) 的频率相同 总体结果是一个开环传递函数, 从超低频到超高频每增十倍衰减 20 db 此函数可确保电路稳定 图 53: 辅助高效降压稳压器 12V C14 22uF C15 0.1uF C pF 数字地 C16 4.7nF C18 47nF 数字地 数字地 数字地 R K U4 16 PGND 15 PGND VIN 3 14 PGND VIN 4 13 AGND VIN 5 12 AGND AVIN VCB SD(SS) LM NC SW 1 SW 2 10 COMP 数字地 9 FB D21 数字地 C19 0.1uF L1 TP4 150uH R K R41 10K 3.3VDIG L3 C20 10uH C37 33uF R46 68uF 0R 数字地 3.3VANA 模拟地 C38 0.1uF DS01336ACN 第 47 页

48 参考设计固件概述 图 54 介绍了 LLC 转换器参考设计的基本固件框图 图 54: 固件高阶框图 初始化 系统时钟 IO 端口 PWM 模数转换器比较器 软启动程序 否 主循环是 ( 故障检查 ) 存在故障? 故障循环 中断服务程序 DS01336ACN 第 48 页

49 初始化 在代码的此部分中, 所有主要的系统操作和外设均已初始化 由于 LLC 半桥转换器受频率控制, 因此使用外部振荡器为系统提供时钟, 以便在整个工作温度范围内获得较小容差 为内部振荡电路提供了外部 7.37 MHz 晶振, 因此, 系统以及 PWM 和 ADC 外设可分别获得系统 PLL 和辅属 PLL 时钟 辅属时钟有一个内部 16x PLL, 它为 PWM 和 ADC 外设产生 118 MHz 的时钟频率 系统时钟 PLL 提供 40 MHz 的时钟频率 LLC 参考设计使用两条 PWM 通道 一条通道驱动初级半桥 MOSFET, 另一条通道驱动次级同步整流器 MOSFET 表 6 汇总了两条 PWM 通道的初始工作模式 表 6: 初始 PWM 模式 工作模式 死区 占空比 ADC 触发 初级 PWM 推挽 禁止 ( 包含在占空比的值中 ) 1/2 周期 死区值每四个 PWM 周期发生一次 次级 PWM 推挽 禁止 ( 包含在占空比的值中 ) 1/2 周期 死区值每四个 PWM 周期发生一次 表 7 汇总了 ADC 通道的用途 表 7: ADC 通道 ADC 通道 信号 说明 AN0 IOUTFB 与主变压器串联的电流互 感器输出, 由 U2 进行滤波 和放大 AN1 VOUTFB 电阻分压网络用于检测输 出电压 AN2 VINFB 在次级对其进行测量, 使 用高压电容跨越隔离层 AN3 TEMPFB 使用 MCHP9700(U8) 温 度传感器检测温度 该传 感器在输出同步 MOSFET 附近 比较器 1 与 ADC 通道 0 共享同一输入引脚 这意味着 比较器还可监视输出电流以确定是否发生过流事件 系统开始工作之前, 对输入电压进行检查以确定其值是 否在指定范围内 软启动程序 上电后, 装置工作在特高频 ( 约 300 khz) 根据输入电压, 对占空比进行手动控制, 以使转换器的输出电压从 0V 增加到大约 10V 此后, 占空比固定在 50% 减去死区, 而频率则降至标称值 ( V 输入 ) DS01336ACN 第 49 页

50 中断管理 提供大量中断 : ADC 对 0 中断采集输出电压并将其传递到实现 PI 控制的程序 PI 处理的输出是新的频率值, 或者更准确的说是 PTPER 寄存器的值 正常工作期间, 会检查更新的频率值是否在指定限制范围内 考虑到工作频率高于或低于谐振频率, 也会更新输出同步整流器 PWM 通道 软启动期间, 系统工作在固定频率, 且 PI 补偿器被禁用 ; 但输出电压仍受到 ADC 的监视 在此 ADC 中断中还将测量谐振电流 ADC 对 1 中断此中断用于采集输入电压和检测温度 Timer1 中断此中断用于计算延迟 定时器中断每 100 µs 发生一次 补偿器 1 中断此中断用于检测过流事件 ( 故障 ) 故障管理 考虑大量导致故障的不同信号 处理故障时的一个主要问题是避免装置在收到故障信号后立即停止工作 其原因是避免对可能的毛刺和噪声响应过快 主循环中会不断测试故障状况 实际上, 这是主代码 ( 在后台 ) 执行的唯一操作 每个故障信号都经过多次测试, 如果故障始终存在, 计数器在每个周期都会加 1, 但只有在计数器达到某一特定值时, 固件才认为发生了真正的故障 有关详细信息, 请参见表 8 系统一旦进入故障状态, 故障 LED 就会根据故障状态点亮和熄灭多次, 这可以直观地表示所发生的故障 表 8: 故障状态 故障事件 故障状态起始时的计数器值 LED 点亮 / 熄灭周期数 输入欠压 输入过压 过流 ( 比较器 ) 2 过流 (ADC) 输出过压 输出欠压 温度 软启动 6 DS01336ACN 第 50 页

51 附录 A: 源代码 软件许可协议 Microchip Technology Incorporated ( 以下简称 本公司 ) 在此提供的软件旨在向本公司客户提供专门用于本公司生产的产品的软件 本软件为本公司和 / 或其供应商所有, 并受到适用的版权法保护 版权所有 使用时违反前述约束的用户可能会依法受到刑事制裁, 并可能由于违背本许可的条款和条件而承担民事责任 本软件是按 现状 提供的 不附有任何形式的保证, 无论是明示的 暗示的或法定的, 包括 ( 但不限于 ) 有关适销性和特定用途的暗示保证 对于在任何情况下, 因任何原因造成的特殊的 偶然的或间接的损害, 本公司概不负责 本应用笔记中提及的所有软件都以单个 WinZip 归档文件的形式提供 可从 Microchip 公司网站下载此文件 : DS01336ACN 第 51 页

52 附录 B: 电气测试结果和工作波形 本附录提供有关 200W LLC 谐振转换器参考设计的测试结果以及一些工作波形的信息 使用以下设备测试 LLC 谐振转换器 : 大于 450V, 3A 的直流电源 大于 12V, 20A 的直流电子负载 四通道示波器 (100 MHz 或更高 ) 高带宽电流探头和差分探头 6 位半万用表 效率板 ( 仅用于测量效率 ) B.1 软启动和过冲 LLC 谐振转换器采用由占空比 / 频率控制的软启动程序将输出电压提升至 12V, 从而消除启动时的浪涌电流和输出电压过冲 启动时, 开关频率被设置为 300 khz, 以提供谐振回路的最小增益 根据输入电压对占空比进行手动控制, 以将输出电压提升至大约 10V 随后, 降低开关频率, 直到输出电压接近所需的参考电压 (12V) 为止 此后, 使用 PI 补偿器控制频率 ; 但为了消除所有过冲, 通过向控制环提供历史记录来计算积分误差 图 B-1 和图 B-2 展示了转换器在加负载和空载时的软启动 图 B-1: 空载软启动, 输入电压 : 约 400 VDC DS01336ACN 第 52 页

53 图 B-2: 满载软启动, 输入电压 : 约 400 VDC DS01336ACN 第 53 页

54 B.2 动态负载响应 测量动态负载响应时, 对输出执行负载阶跃变化可捕获下冲 / 过冲电压和输出电压的稳定时间 图 B-3 和图 B-4 展示了负载阶跃变化为 25%-75% 和 75%-25%, 电流变化率为 1A/µs 时系统的动态负载响应 图 B-3: 瞬态响应 25%-75% (4A-12A), 输入电压 : 约 400 VDC 图注 : 绿线 = 输出电压黄线 = 输出负载电流 DS01336ACN 第 54 页

55 图 B-4: 瞬态响应 75%-25% (12A-4A), 输入电压 : 约 400 VDC 图注 : 绿线 = 输出电压黄线 = 输出负载电流 DS01336ACN 第 55 页

56 B.3 输出电压纹波 在输出电容两端使用尽可能短的探头接地线测量输出电压纹波 图 B-5 和图 B-6 显示了 LLC 谐振转换器的输出电压纹波 图 B-5: 输出电压纹波, IOUT:17A, 输入电压 : 约 400 VDC DS01336ACN 第 56 页

57 图 B-6: 输出电压纹波, IOUT:17A, 输入电压 : 约 400 VDC DS01336ACN 第 57 页

58 B.4 相位和增益裕量 图 B-7 显示了在标称输入电压 (400Vdc) 和半载时 LLC 谐振转换器的闭环性能 图 B-7: 相位和增益裕量 (VIN:400VDC, IOUT:8.5A) B.5 效率 图 B-8: LLC 在 380 VDC 和 400 VDC 时的效率 LLC Efficiency Percent (%) Output Load Current 380V 400V DS01336ACN 第 58 页

59 B.6 零电压开关 由于谐振回路电流与电压之间存在相移, 因此半桥 MOSFET 能够在没有任何导通损耗的情况下进行开关 图 B-9 和图 B-10 展示了下桥臂 MOSFET 上的零电压开关 图 B-9: ZVS, IOUT:8.5A, 输入电压 : 约 400 VDC 图注 : 绿线 = MOSFET 栅 - 源极紫线 = MOSFET 漏 - 源极黄线 = 谐振回路电流 DS01336ACN 第 59 页

60 图 B-10: ZVS, IOUT:8.5A, 输入电压 : 约 400 VDC 图注 : 绿线 = MOSFET 栅 - 源极紫线 = MOSFET 漏 - 源极黄线 = 谐振回路电流 DS01336ACN 第 60 页

61 B.7 零电流开关 图 B-11 展示了次级整流器上的零电流开关 随着 MOSFET 电流变为零, MOSFET 漏 - 源极电压开始升高 这消除了所有关断损耗 图 B-11: ZCS, IOUT:8.5A, 输入电压 : 约 400 VDC 图注 : 绿线 = MOSFET 栅 - 源极紫线 = MOSFET 漏 - 源极黄线 = MOSFET 电流 DS01336ACN 第 61 页

62 B.8 谐振回路电流 图 B-12 和图 B-13 显示了在谐振频率附近空载以及满载时的谐振电流 图 B-12: 谐振回路电流 : 空载 DS01336ACN 第 62 页

63 图 B-13: 谐振回路电流 IOUT:17A DS01336ACN 第 63 页

64 B.9 次级电流 图 B-14 显示了满载时的次级 MOSFET 电流 图 B-14: 次级 MOSFET 电流 IOUT:17A DS01336ACN 第 64 页

65 B.10 MOSFET 栅极信号 图 B-15: PWM 栅极驱动波形 图注 : 绿线和红线 = 同步 MOSFET 紫线和黄线 = 半桥 MOSFET DS01336ACN 第 65 页

66 图 B-16: 低于谐振频率时的 PWM 栅极驱动波形 图注 : 红线 = 同步 MOSFET 紫线 = 半桥 MOSFET DS01336ACN 第 66 页

67 附录 C: C.1 系统建模 LLC 谐振转换器控制系统设计 为了提前优化电源转换器的性能, 需要对其进行建模和模拟 此方法对于在实际硬件上测试设计之前获得所需性能来说十分有用 系统建模包括以下过程 : 根据主要系统参数, 在 MATLAB 中使用.m 文件生成控制环系数 使用 Simulink 模型 (.mdl) 文件创建系统硬件和控制系统的图形模型 从.m 文件生成的系数将用于此 Simulink 模型文件 然后可在各个工作点模拟硬件和控制系统的模型, 以确保达到所需性能 在 dspic DSC 上用软件实现控制系统的模型, 并在实际硬件上验证性能 C.2 半桥 LLC 谐振转换器的工作原理 LLC 谐振转换器工作在固定占空比和可变开关频率下, 以在各种负载和线路条件下保持恒定的输出电压 LLC 谐振转换器的等效电路如图 C-1 中所示 转换器的工作基于可能的分压器阻抗网络 通过改变磁化电感两端所施加的电压来控制输出电压 这是通过使用可变开关频率更改 LLC 网络元件的相对阻抗来实现的 因此, 可使用半桥的开关频率控制输出电压 图 C-1: LLC 转换器的等效电路 Q1 L r C r DC T Q2 L m R pri V pri - DS01336ACN 第 67 页

68 C.3 分析 LLC 谐振转换器是高度非线性系统, 很难使用分析公式进行分析 由于它是直流 / 直流转换器, 因此可执行工作点分析 在直流 / 直流转换器中, 如果输入和输出电压在负载发生变化的情况下几乎是常量, 则系统特性不发生明显改变 因此, 可以对系统执行小信号分析和线性化 输入电压 参考或负载的微小变化只会导致开关周期发生线性相关或成比例变化 在数学上, 我们在一个工作点附近使用单项泰勒级数近似来近似所有非线性项 考虑以下示例 : 如果输入 x ( 自变量 ) 和输出 y ( 因变量 ) 具有以下关系 : y = x^2 标称工作点 (x 0, y 0 ) 附近的泰勒级数近似将为 : dy = 2x 0.dx 关系 dy/dx 是动态斜率, 可用于研究系统特性 如果使用偏导数, 这种方法可适用于任意数量的变量 目标是通过改变半桥的开关周期 (T), 在整流器的输出端和 LC 滤波器的输入端产生正确的电压 (V x ) 对于 LLC 谐振转换器, V x 与 T 之间关系是非线性的, 因此使用工作点方法 通过改变图 C-1 所示电路中各元件的阻抗来获得所需电压 V x 阻抗由 X c X r 和 X m 给出, 是半桥开关频率的函数 施加到磁化电感的电压由公式 C-1 给出 公式 C-1: V pri 其中,V in 是输入电压 V x 在经过匝数比换算 ( 如公式 C-2 所示 ) 后出现在 LC 滤波器的输出中 X m = X m X r X c V in 公式 C-2: 电压 V pri 由 LLC 电路的增益确定 此增益如公式 C-3 所示 公式 C-3: G p = V x V pri = 匝数比 V pri V in 其中 : G p = LLC 电路的增益 通过修改开关频率 f S,LLC 电路的增益发生变化, 因此改变负载和输入电压便可控制输出电压 LLC 电路可工作在以下三个工作区域中的任意一个 : 谐振频率 (G p = 1) 低于谐振频率 (G p > 1) 高于谐振频率 (G p < 1) 对于系统建模, 我们需要确定 LLC 电路的增益与开关频率之间的关系 由于增益公式的非线性性质, 我们在标称工作点 ( 即谐振频率 ) 附近执行工作点分析 为执行工作点分析, 我们在谐振频率 f r 处定义一个新变量 p, 如公式 C-4 所示 X m = X m X r X c X m = j2f s L m = 磁化电感的阻抗 X r = j2f s L r = 谐振电感的阻抗 1 X c = = 串联电容的阻抗 j2f s C r 公式 C-4: p = G p = = X m X r X c X m L 1 r L m f S Cr L m V pri = V in p DS01336ACN 第 68 页

69 作为公式 C-4 的计算结果, V pri 现在由公式 C-5 表达 公式 C-9: 公式 C-5: V pri = V in L r L m f S Cr L m V pri V in = T 2 2 S p 02 fr C r L m 其中,p 0 是在谐振频率时计算出的逆增益 在两侧对频率求微分, 结果如公式 C-6 所示 公式 C-6: V pri 是针对频率的微小变化所观察到的 V pri 的变化 频率表示为开关周期的函数, 如公式 C-7 所示 公式 C-7: 将公式 C-7 的结果代入公式 C-6, 得出公式 C-8 公式 C-8: V pri = V in p 2 其中, 表示变量的微小变化 V pri f 3 S f S Cr L m f 1 S = T S 1 f S = T S T S 2 = f 2 S T S V in = p T S f S C r L m 由于假定频率变化很小, 我们可以将公式 C-8 中的 f S 替换为标称工作频率 ( 即谐振频率 f r ) 然后该公式变为公式 C-9 现在, 最终周期输出已定义, 如公式 C-10 所示 公式 C-10: 在公式 C-10 中,(p f r C r L m ) 这一项是常数, 因为已假定周期变化对于 LLC 转换器的工作范围来说很小 因此, 我们可将此项替换为常数电压 - 时间因数, 如公式 C-11 中定义 公式 C-11: 此外, V pri 是 V pri 在周期变化 T 内的变化 使用公式 C-2, 我们可将电压变化用输出电压表示, 如公式 C-12 所示 公式 C-12: T S = T nom T S = T nom V pri V in 2 2 p 02 fr C r L m 2 2 电压 - 时间因数 = p 02 fr C r L m V pri = V pri V prinom = V x V xnom 匝数比 将公式 C-11 和公式 C-12 代入公式 C-10, 得到所需开关频率的最终表达式, 如公式 C-13 所示 公式 C-13: 匝数比 T S = T nom 电压 - 时间因数 V x V xnom V in DS01336ACN 第 69 页

70 T nom 已知来自转换器设计, 电压 - 时间因数是之前定义的常数 电压 V x 是产生所需输出电压所需要的控制电压 此电压 V x 由 LLC 转换器的数字补偿器产生 因此, 公式 C-14 将根据测量的输出电压和输入电压产生所需开关频率 为 LLC 谐振转换器选择了比例积分 (PI) 补偿器 取消使用微分项, 因为系统的串联寄生电阻起到自然阻尼系数的作用, 会使微分项的所有效应无效 图 C-2 显示了一般控制系统的框图 在 LLC 转换器中, 输出 LC 滤波器形成了系统的执行器 输出 LC 滤波器与 PI 补偿器结合在一起, 提供闭环系统的特征公式, 如公式 C-14 所示 公式 C-14: s 2 K LC src K i p ---- = 0 s 其中 : L = 输出电感 C = 输出电容 R = 电路的寄生 ( 集总 ) 串联电阻 K p = 补偿器的比例增益 K i = 补偿器的积分增益 在标称工作点 (V in = 400V), 选择特征公式的根为 Hz 和 -900 Hz 然后针对未知数 K p 和 K i 对特征公式求解, 以确定补偿器增益 通过求解特征公式获得的补偿器增益将用于生成补偿器的模拟传递函数 然后使用双线性变换将补偿器传递函数转换为离散形式 双线性变换的结果将生成数字 PI 补偿器的最终差分公式 图 C-2: 显示执行器和控制器的控制系统高阶框图 参考 误差 控制器 调制 执行器 输出 - DS01336ACN 第 70 页

71 C.4 数字控制系统实现 : 图 C-3 显示了 LLC 转换器模型的顶层框图 该模型包括如下两个单独的实现模型 模拟 LLC 转换器模型 数字 LLC 转换器模型模拟模型提供补偿器设计所需的大部分信息 数字系统包括量化误差 精度有限而导致的误差 采样效应以及饱和限制等各种现象的效应 因此, 必须对模拟和数字系统进行建模才能对实际系统精确建模 为简单起见, 模拟模型不包含换算因数和 ADC 反馈增益等因数 图 C-3: LLC 转换器的 SIMULINK 模型顶层框图 12 V o * 200 V in V ref /V o * V in I load V o I L 总模拟系统 示波器 总负载 负载发生 1 12 V o *1 200 V ref /V o * V in V o V in 1 总负载 I load 总数字系统 1 I L 示波器 1 负载发生 2 DS01336ACN 第 71 页

72 图 C-4 显示了总模拟系统的组成部分 所有值均使用实际物理单位和标准单位 它主要包含三个子系统 : 输出 LC 滤波器 / 执行器 控制系统模块 调制模块 图 C-4: LLC 转换器模拟系统模型 2 V in 1 V ref /V o * V o V in T S V o * 控制系统 1 50e-6s1 传递函数 LC V x 1 V o 1 I load I L L-C 电路 / 执行器 1 V o 1 I L 2 3 I load V in I load 输出 1 T S 调制 示波器 2 图 C-5 中的输出 LC 滤波器 / 执行器模块用于对具有寄生效应的输出电容和电感 ( 如电容 ESR 和电感 DCR) 建模 此模块的输入是控制电压 V x 和负载电流 I load, 而此模块的输出是系统的输出电压 图 C-5: 输出 LC 滤波器 / 执行器 I L 2 1 LC V x 1 V x V o I L I c 输出 1 1 V o 1 L C -K- 匝数比 -K- 匝数比 2 I load DS01336ACN 第 72 页

73 图 C-6 显示了 LLC 转换器模型的调制模块 此模块采用了 LLC 电路的增益公式 ( 如公式 C-1 给出 ) 调制模块的输入是通过控制系统模块计算出的开关周期值 该周期值转换为变压器初级电压 V pri, 然后使用公式 C-2 将此电压值转换为控制电压 V x 实质上, 调制模块实现了 LLC 电路的工作 由于 LLC 电路具有电抗性质, 流过该电路的电流可能不与电压同相 输出电压只是 V pri 电压幅度的函数 因此, 调制模块通过计算 V pri 的幅度来获得 V x 图 C-6: 调制模块 X m 1 V in 2 I load X m 1Z/X m 乘积 乘积 1 数学函数 除法 1 Out1 3 T S In1 阻抗 X s X c X s X c 子系统 Z R 乘积 3 乘积 2 图 C-7 中显示的控制系统模块实现了数字 PI 补偿器和一个逆调制模块 控制系统模块的输入是参考电压和测出的输出电压, 而输出是所需的开关周期 PI 补偿器设计为使用实际单位, 因此 PI 模块的输出以伏特为单位 逆调制模块将 PI 补偿器的输出转换为所需的开关周期, 并将 V in 中任何变化产生的效应分解为因数 图 C-7: 模拟控制系统模块 示波器 s1.6e3 den(s) V x 3 V o * PI 逆调制 1 V o -K- 匝数比 12 常量 2 V in DS01336ACN 第 73 页

74 我们使用一种称为仿真数字化的方法 在此方法中, 先创建模拟控制系统模型, 然后将其转换为离散控制系统 为了进行离散化, 必须将零阶保持 16 位有限精度 10 位 ADC 分辨率 ( 量化 ) 和计算延迟包括在数字控制系统模型中 这些因数已在数字控制系统模块中实现, 如图 C-8 中所示 所有电压量都换算为基准电压, 所有时间量都换算为基准时间量 基准电压是可生成满量程 ADC 读数的输出电压 基准时间量是系统中允许的最大开关周期 结果是控制系统中使用的所有电压量都表示为基准电压乘以一个小数 同样, 所有时间量都表示为基准周期乘以一个小数 因此, 控制系统的实际实现是以 Q15 小数格式完成的 由于控制系统的所有输入端的值都被转换为 Q15 格式, 必须在控制系统的输出端将值逆转换回实际单位 因此, 最终输出应乘以基准周期才能生成新的周期值 Q15 格式将所有数字都限制在 -1 到 1 的范围内 有时在求解特征公式并转换为离散量之后生成的系数可能超出此最大范围 在这种情况下, 使用预换算因数除系数以实现 Q15 运算 然后对输出进行后乘法运算以生成正确结果 最后, 要实现的公式如公式 C-15 所示 图 C-8: 数字控制系统模块 示波器 1 3 V o * 1 V o I out 滤波误差 P out PID 1 输出电压去耦 12 匝数比 -K- V x T S V insecondary 逆调制 / 占空比生成 1 T S 常量 2 V in 公式 C-15: 其中 : 调节因数 = 匝数比 T SQ15 = T nomq15 调节因数 电压 - 时间因数 V xq15 V oq15 V xnomq V inmin V inmin V in 2 2 电压 - 时间因数 = p 02 fr C r L m V xq15 = 数字 PI 补偿器的输出 V oq15 = 输出电压去耦项 V xnomq15 = 输出参考电压 DS01336ACN 第 74 页

75 然后, 我们定义另一个常量乘法因数, 如公式 C-16 所示 公式 C-16: 匝数比乘法因数 = 电压 - 时间常数 V inmin 这样便可将公式 C-15 中的常量替换为乘法因数常量, 并获得开关周期的最终表达式, 如公式 C-17 所示 公式 C-17: T SQ15 = T nomq15 调节因数 乘法因数 PI outputq15 V xnomq15 V oq15 T S = T SQ15 T max DS01336ACN 第 75 页

76 C.5 仿真结果 图 C-9: 环增益图 ( 模拟和数字 ) 图注 : 蓝线 = 数字红线 = 模拟 50 0 幅度 (db) 相位 (deg) 频率 (Hz) 图 C-10: 闭环增益图 ( 模拟和数字 ) 图注 : 蓝线 = 数字红线 = 模拟 幅度 (db) 相位 (deg) 频率 (Hz) DS01336ACN 第 76 页

77 图 C-11: 抗扰性 ( 仅模拟 ) 幅度 (db) 相位 (deg) 频率 (Hz) DS01336ACN 第 77 页

78 图 C-12: 瞬态响应仿真 DS01336ACN 第 78 页

79 附录 D: 设计包 本参考设计的完整设计包以单个 WinZip 归档文件的形式提供 可从 Microchip 公司网站下载此文件 : D.1 设计包内容 设计包中包含以下资料 : 参考设计原理图 制造图纸 物料清单 装配图纸 硬件设计 Gerber 文件 DS01336ACN 第 79 页

80 注 : DS01336ACN 第 80 页

81 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 请勿忽视文档中包含的英文部分, 因为其中提供了有关 Microchip 产品性能和使用情况的有用信息 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 dspic KEELOQ KEELOQ 徽标 MPLAB PIC PICmicro PICSTART PIC 32 徽标 rfpic 和 UNI/O 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 FilterLab Hampshire HI-TECH C Linear Active Thermistor MXDEV MXLAB SEEVAL 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro CodeGuard dspicdem dspicdem.net dspicworks dsspeak ECAN ECONOMONITOR FanSense HI-TIDE In-Circuit Serial Programming ICSP Mindi MiWi MPASM MPLAB Certified 徽标 MPLIB MPLINK mtouch Omniscient Code Generation PICC PICC-18 PICDEM PICDEM.net PICkit PICtail REAL ICE rflab Select Mode Total Endurance TSHARC UniWinDriver WiperLock 和 ZENA 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2010, Microchip Technology Inc. 版权所有 ISBN: Microchip 位于美国亚利桑那州 Chandler 和 Tempe 与位于俄勒冈州 Gresham 的全球总部 设计和晶圆生产厂及位于美国加利福尼亚州和印度的设计中心均通过了 ISO/TS-16949:2002 认证 公司在 PIC MCU 与 dspic DSC KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS :2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 DS01336ACN 第 81 页

82 全球销售及服务网点 美洲 亚太地区 亚太地区 欧洲 公司总部 Corporate Office 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技术支持 : 网址 : 亚特兰大 Atlanta Duluth, GA Tel: Fax: 波士顿 Boston Westborough, MA Tel: Fax: 芝加哥 Chicago Itasca, IL Tel: Fax: 克里夫兰 Cleveland Independence, OH Tel: Fax: 达拉斯 Dallas Addison, TX Tel: Fax: 底特律 Detroit Farmington Hills, MI Tel: Fax: 科科莫 Kokomo Kokomo, IN Tel: Fax: 洛杉矶 Los Angeles Mission Viejo, CA Tel: Fax: 圣克拉拉 Santa Clara Santa Clara, CA Tel: Fax: 亚太总部 Asia Pacific Office Suites , 37th Floor Tower 6, The Gateway Harbour City, Kowloon Hong Kong Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 重庆 Tel: Fax: 中国 - 香港特别行政区 Tel: Fax: 中国 - 南京 Tel: Fax: 中国 - 青岛 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 沈阳 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 武汉 Tel: Fax: 中国 - 西安 Tel: Fax: 中国 - 厦门 Tel: Fax: 台湾地区 - 新竹 Tel: Fax: 澳大利亚 Australia - Sydney Tel: Fax: 印度 India - Bangalore Tel: Fax: 印度 India - New Delhi Tel: Fax: 印度 India - Pune Tel: Fax: 日本 Japan - Yokohama Tel: Fax: 韩国 Korea - Daegu Tel: Fax: 韩国 Korea - Seoul Tel: Fax: 或 马来西亚 Malaysia - Kuala Lumpur Tel: Fax: 马来西亚 Malaysia - Penang Tel: Fax: 菲律宾 Philippines - Manila Tel: Fax: 新加坡 Singapore Tel: Fax: 泰国 Thailand - Bangkok Tel: Fax: 奥地利 Austria - Wels Tel: Fax: 丹麦 Denmark-Copenhagen Tel: Fax: 法国 France - Paris Tel: Fax: 德国 Germany - Munich Tel: Fax: 意大利 Italy - Milan Tel: Fax: 荷兰 Netherlands - Drunen Tel: Fax: 西班牙 Spain - Madrid Tel: Fax: 英国 UK - Wokingham Tel: Fax: 加拿大多伦多 Toronto Mississauga, Ontario, Canada Tel: Fax: 中国 - 珠海 Tel: Fax: 台湾地区 - 高雄 Tel: Fax: 台湾地区 - 台北 Tel: Fax: /15/10 DS01336ACN 第 82 页

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

untitled

untitled MCP3421 SOT23-6 DS51793A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

untitled

untitled PICkit MCP3422 DS51781A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

PIC24 MSSP FRM Rev A.book

PIC24 MSSP FRM Rev A.book 58 MSSP 58.1... 58-2 58.2... 58-2 58.3... 58-12 58.4 SPI... 58-13 58.5 I2C... 58-22 58.6 /... 58-58 58.7... 58-58 58.8... 58-59 58.9... 58-60 58.10... 58-61 58 MSSP 2012 Microchip Technology Inc. DS30627A_CN

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

Contents 1 谐振变换器的特点 2 谐振的概念 3 谐振变换器的拓扑结构及其特性

Contents 1 谐振变换器的特点 2 谐振的概念 3 谐振变换器的拓扑结构及其特性 Contents 1 谐振变换器的特点 2 谐振的概念 3 谐振变换器的拓扑结构及其特性 谐振变换器概述 在变换器应用中, 有一类将直流电变为高频正弦交流电的变换器, 作为变换器的中间环节或者直接输出, 主要应用于 : 1. 雷达 通信中的射频功率放大器 ; 2. 感应炉的高频逆变器 ; 3. 使用高频正弦中间储能环节的谐振 DC/DC 变换器 ; 4. 日光灯的电弧装置 ; 谐振变换器概述 这类变换器具有一些共性的特点

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

70641A.book

70641A.book AN1299 调整指南 1.1 配置双电流检测电阻模式 本文档介绍了 AN1299 PMSM 无传感器 FOC 的单电流检测电阻三相电流重构算法 (DS01299A_CN) 中所述算法调整所需的步骤和设置 1. 第一步, 用户需完成 AN1078 中的调整过程, 其中介绍了在具体电机和硬件上运行滑模控制器 (Slide Mode Controller, SMC) 所需的全部步骤 2. 应用运行后,

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

01476A.book

01476A.book 结合 CLC 和 NCO 实现高分辨率 PWM AN1476 作者 : 简介 Cobus Van Eeden Microchip Technology Inc. 虽然许多应用可以使用分辨率低于 8 位的 PWM, 但是有一些应用 ( 例如灯具调光 ) 由于人眼灵敏度的原因需要较高分辨率 背景 传统的 PWM 使用定时器来生成规则的开关频率 (T PWM ), 然后使用纹波计数器来确定在脉冲结束之前,

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

ºÎÓ±

ºÎÓ± FT838D 5V2A 设计报告 Description Symbol Min Type Max Unit Comment Input Voltage Vin 90 / 264 Vac Input Frequency Fline 47 50/60 63 Hz No-load Input Power (@230Vac) Active Mode Efficiency Pst / / 150 mw η /

More information

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到特定引脚 ( 例如 : 引脚 RC5 上的 PWM1 输出 ) PPS 允许开发人员从大量输出引脚中进行选择, 这提供了以下优势

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

AN1487 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 规范的一部分, 具体与数控可调光荧光镇流

AN1487 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 规范的一部分, 具体与数控可调光荧光镇流 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 60929 规范的一部分, 具体与数控可调光荧光镇流器有关 本文档结合 DALI 通信应用笔记 (AN1465A) (ww1.microchip.com/downloads/cn/appnotes/

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

51983a - MCP mA D2PAK UG.book

51983a - MCP mA D2PAK UG.book MCP630 300 ma D 2 PAK 演示板用户指南 20 Microchip Technology Inc. DS5983A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

LED Smart Lighting with PFC & DALI solution

LED Smart Lighting with PFC & DALI solution 带 PFC 及 DALI 通讯的单芯片 LED 解决方案 瑞萨电子 RL78I1A 系列单片机的应用 瑞萨电子 ( 中国 ) 有限公司通用产品中心应用技术部史子光 2013/07/30 方案组成 主变压器用于 PFC 控制及 LED 等高压部分供电 3 通道 LED 降压驱动电路 主控制 MCU: RL78/I1A 调试接口 变阻器 副变压器, 提供 MCU 及其他低压供电 通讯模式开关 DMX&DALI

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830 特点 : 热阻低导通电阻低栅极电荷低, 开关速度快输入阻抗高 符合 RoHS 规范 FEATURES FEATURES: LOW THERMAL RESISTANCE LOW RDS(ON) TO IMIZE CONDUCTIVE LOSS LOW GATE CHARGE FOR FAST SWITCHING HIGH INPUT RESISTANCE 应用 : 低压高频逆变电路续流电流保护电流 APPLICATION:

More information

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63>

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63> Diode 肖特基二极管 (Schottky Diode) V RRM Peak repetitive reverse voltage 反向重复峰值电压 V RWM Working peak reverse voltage 反向工作峰值电压 V R DC Blocking Voltage 反向直流电压 V R(RMS) RMS Reverse Voltage 反向电压有效值 I F(AV) Average

More information

Rotork E120E IQ brochure

Rotork E120E IQ brochure Rotork IQ IQ IQ 3 7 IP68(3-8 )NEMA 9 6 11 IQ 12 1 16 18 Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA IQ IQ IQ PCIQ-Insight IQ IQ IQ IP67 IQ - EEx ia IIC T ( ) Insight IrDA TM 9 (RS232-IrDA

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

00884a_cn.book

00884a_cn.book .E-0.E+00.E+0.E+0.E+03.E+04.E+05.E+06.E+07 使用运放驱动容性负载 AN884 作者 : 简介 运算放大器在驱动大的容性负载时, 若不采取正确地补偿, 则会产生尖峰和振荡问题 其他问题还包括 : 带宽减小, 输出压摆率降低和功耗增加 本应用笔记将解释为什么会发生这些问题, 如何改变运放电路来达到更佳性能, 以及如何快速计算电路的参数值 简化的运放模型 Kumen

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 24W, 集成各种快充输出协议 (DCP/QC2.0/QC3.0/MTK PE1.1/PE2.0/FCP/SCP/AFC/SFCP) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 24W(4V@3.6A, 5V@3.4A,9V@2.5A,12V@2A 等

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

半导体 PROD U C T L I S TIN G S 整流器 Superectifier 高功率二极管和晶闸管 小信号二极管 PIN 齐纳和抑制二极管 TVS TRANSZORB ESD 被动器件 电阻产品 Power Metal Strip NTC PTC FET TrenchFET MOSF

半导体 PROD U C T L I S TIN G S 整流器 Superectifier 高功率二极管和晶闸管 小信号二极管 PIN 齐纳和抑制二极管 TVS TRANSZORB ESD 被动器件 电阻产品 Power Metal Strip NTC PTC FET TrenchFET MOSF V I S H AY I N T E R T E C H N O L O G Y, I N C. 齐 纳 二 极 管 齐纳二极管 齐 纳 二 极 管 SOD -523 SOD-323 S O D -12 3 S O T- 2 3 D O - 2 19 A B ( S M F ) D O - 2 15 A A DO -220A A D O - 2 14 ( S M A ) D O - 2 14 A A

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

00975A.book

00975A.book 使用 MSSP 模块进行 Microwire 串行 EEPROM 与 PIC16 器件的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点,

More information

2017 年 4 月 15 日 电源网中国工程师巡回培训会 南京航空航天大学周洁敏 南京天丰大酒店

2017 年 4 月 15 日 电源网中国工程师巡回培训会 南京航空航天大学周洁敏 南京天丰大酒店 2017 年 4 月 15 日 电源网中国工程师巡回培训会 南京航空航天大学周洁敏 南京天丰大酒店 专题 LLC 半桥谐振电感设计 1 谐振变换器拓扑简介 2 对谐振电感的要求 3 适合做谐振电感的磁性材料 4 电感设计举例 1 谐振变换器拓扑简介 LLC 谐振变换器近年来一直得到关注和长足的研究, 对于中大功率场合则用半桥 LLC 变换器, 大功率电源则用全桥 LLC 谐振变换器 相同功率下, 主电路是半桥和全桥的区别在于半桥电路的电压应力是全桥的一半,

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

HT1215.pub

HT1215.pub HT 单相正弦波逆变控制芯片 芯片管脚图及说明 电气特性 工作电压 :.V~.V 总功耗 :mw 流出 的最大电流 :ma 流入 Vcc 的最大电流 :ma 任意引脚的最大输出灌电流 :ma 任意引脚的最大输出拉电流 :ma 单相开关电路图 : 电源 Vcc,.V~.V,: 外接晶振,MHz C,C :~pf : 遥控,P =H( 高电平时 )PWM~PWM 输出低电平, 当 P =L( 低电平时

More information

2010产品目录.cdr

2010产品目录.cdr 固态继电器 olid tate elay 固态继电器 * 因产品开发及技术改进等原因, 实际销售产品可能与本手册中展示的产品有所不同, 本公司保留相关权利, 产品若有改动, 恕不另行通知 固态继电器目录 P C B 型单相交流固态继电器 单相交流固态继电器 (DC-AC) 产品目录 PCB 型固态继电器 1 面板安装型固态继电器 2 工业级固态继电器 3 单相直流固态继电器 (DC-AC) 面板安装型直流固态继电器

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide 跟踪接口工具包规范 跟踪接口工具包 简介 MPLAB REAL ICE 在线仿真器跟踪接口工具包 (AC2446) 可与具有跟踪连接器的 PIC32 接插模块 (PIM)( 表 1-1) 配合使用 具有跟踪连接器的 PIC32 PIM 支持 PIC32 指令跟踪 请参见仿真器文档了解关于 PIC32 指令跟踪的更多信息 表 1-1: PIC32 PIM 列表 * PIM 编号 PIM 名称 跟踪连接器

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

MRF24WG0MAMB Software Migration

MRF24WG0MAMB Software Migration MRF24WG0MA/MB 软件移植 作者 : 概述 本应用笔记介绍为了从旧版 MRF24WB0MA/MB 软件协议栈移植以包含 MRF24WG0MA/MB 模块, 而必须对现有 MLA 软件协议栈进行的更改 使用入门 Amy Ong Microchip Technology Inc. MRF24WG0MA/MB 驱动程序代码已深度嵌入在 MLA 版本中 宏定义用于区分 MRF24WB0MA/MB

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 最大输出 24W, 集成各种快充输出协议 (DCP/QC2.0/QC3.0/FCP/AFC/SFCP) 的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入电压范围 :4.5V 到 32V 输出电压范围 :3V 到 12V, 根据快充协议自动调整 输出功率 : 最大 24W(4V@3.6A, 5V@3.4A,9V@2.5A,12V@2A 等 ) 输出电压有线补功能 输出具有 CV/CC

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

CHF300R12KC3 SiC MOSFET 功率模块 初步资料 特点 : 低导通电阻 低电容 耐雪崩能力强 更高的系统效率 高温应用 高频率工作 适合并联 无卤, 符合 RoHS 规定 应用 : SMPS / UPS / PFC 充电桩 & 电机驱动 功率逆变器 & DC/DC 变换器 太阳能

CHF300R12KC3 SiC MOSFET 功率模块 初步资料 特点 : 低导通电阻 低电容 耐雪崩能力强 更高的系统效率 高温应用 高频率工作 适合并联 无卤, 符合 RoHS 规定 应用 : SMPS / UPS / PFC 充电桩 & 电机驱动 功率逆变器 & DC/DC 变换器 太阳能 CHFR12KC3 SiC MOSFET 功率模块 初步资料 特点 : 低导通电阻 低电容 耐雪崩能力强 更高的系统效率 高温应用 高频率工作 适合并联 无卤, 符合 RoHS 规定 应用 : SMPS / UPS / PFC 充电桩 & 电机驱动 功率逆变器 & DC/DC 变换器 太阳能 / 风能 最大额定值 (TC=25 除非有规定 ) VDSmax 漏极 - 源极间最大电压 1 V VGSmax

More information

Microsoft Word - ?极PFC反激式?源的??-B.docx

Microsoft Word - ?极PFC反激式?源的??-B.docx LED 驱动电源单极 PFC 反激式开关电源的设计 [ 日期 :2012-01-11] 作者 :Billy.chen 因为环境能源要求, 在越来越多的电子产品使用的电源要求越来越高, 特别是 LED 驱动电源要求在 5W 以上的产品都要求高功率因素, 低谐波, 高效率, 但是因为又有体积和成本的考量, 传统的 PFC+PWM 的方式电路复杂, 成本高昂, 因此在小功率 (65W 左右 ) 的应用场合一般会选用单极

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

诚芯微科技

诚芯微科技 概述 特点 是一款输入耐压可达 36V, 并且能够实现精确恒压以及恒流的降压型 DC-DC 转换器 内置 50mΩ High-side PMOS 以及 30mΩ Low-side NMOS, 可支持 3A 持续输出电流输出电压可调, 最大可支持 100% 占空比 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压和恒流控制环路实现极小的负载调整率和线性调整率 无需外部补偿, 可以依靠自身内置稳定环路实现恒流以及恒压控制,

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

untitled

untitled 流 路 5. 3 路 來便 流 流 路 路 路 00V DC V DC /0A 來 5. 流 5. 3 了 路 路 離 路 路 不 離 濾 路 流 流 參 路不 路 離 不 路 3 路 率 路 3 路 來 路 說 5 率 路 濾 路 路 離 路 路 路 5.. 3 5.3 濾 流 ( V in ) 濾 LC 濾 路 濾 流 流 5. L Vin i L C V 5.. 濾 漣 率 來 率 兩 T S

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

Microsoft Word - AP3768 AN1.0_CN doc

Microsoft Word - AP3768 AN1.0_CN doc licaio oe 039 3768 系统设计方案及应用注释 作者 : 李光明 刘磊系统工程部. 概述 3768 是第二代脉冲频率调制 (FM) 原边控制器 (rimary ide egulaio,), 用于非连续导通模式的反激开关电源的设计 3768 可以提供精确的恒压, 恒流 (C/CC) 控制 为了实现精确的电压调节,3768 具有可调的导线电压降补偿功能用以补偿不同长度和线径的导线所引起的压降

More information

Microsoft Word - 参数符号汇总 doc

Microsoft Word - 参数符号汇总 doc Diode 普通二极管 (Diode) V RRM Peak repetitive reverse voltage 反向重复峰值电压 V RWM Working peak reverse voltage 反向工作峰值电压 V R DC blocking voltage 反向直流电压 V R(RMS) RMS reverse voltage 反向电压有效值 I O Average rectified

More information