Microsoft Word - TM1637_Ver1.2.doc

Size: px
Start display at page:

Download "Microsoft Word - TM1637_Ver1.2.doc"

Transcription

1 特性描述 TM1637 是一种带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动 键盘扫描等电路 本产品性能优良, 质量可靠 主要应用于电磁炉 微波炉及小家电产品的显示屏驱动 采用 DIP/SOP20 的封装形式 功能特点 采用功率 CMOS 工艺 显示模式 (8 段 6 位 ), 支持共阳数码管输出 键扫描 (8 2it), 增强型抗干扰按键识别电路 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (CLK,DIO) 振荡方式 : 内置 RC 振荡 (450KHz+5%) 内置上电复位电路 内置自动消隐电路 封装形式 :DIP20/SOP20 管脚信息 GND 1 20 K2 SEG1/KS K1 SEG2/KS CLK SEG3/KS DIO SEG4/KS VDD SEG5/KS GRID1 SEG6/KS GRID2 SEG7/KS GRID3 SEG8/KS GRID4 GRID6 10 GRID5 1

2 管脚功能 符号管脚名称管脚号说明 DIO 数据输入 / 输出 17 串行数据输入 / 输出, 输入数据在 SLCK 的低电平变化, 在 SCLK 的高电平被传输, 每传输一个字节芯片内部都将在第八个时钟下降沿产生一个 ACK CLK 时钟输入 18 在上升沿输入 / 输出数据 K1~K2 键扫数据输入 输入该脚的数据在显示周期结束后被锁存 SG1~SG8 输出 ( 段 ) 2-9 段输出 ( 也用作键扫描 ),N 管开漏输出 GRID6~GRID1 输出 ( 位 ) 位输出,P 管开漏输出 VDD 逻辑电源 16 5V±10% GND 逻辑地 1 接系统地 在干燥季节或者干燥使用环境内, 容易产生大量静电, 静电放电可能会损坏集成电路, 天微电子建议采取一切适当的集成电路预防处理措施, 如果不正当的操作和焊接, 可能会造成 ESD 损坏或者性能下降, 芯片无法正常工作 读键扫数据 键扫矩阵为 8 2it, 如下所示 : SG1 SG2 S1 S5 S9 S13 SG3 SG4 S2 S6 S10 S14 S3 S7 S S15 K1 K2 S4 S8 S12 S16 SG5 SG6 在有按键按下时, 读键数据如下 : SG7 SG8 K1 K2 SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG _ 01_ _ 10_ _ 00_01 00_ 01_ _ 0101_ _ 1001_ _ 0001_01 注意 : 在无按键按下时, 读键数据为 :_, 低位在前, 高位在后 由于在电磁炉等厨房电器应用中, 由于干扰较强, 为改善这个问题,TM1637 采用负沿触发方式解决误触发现象, 即所谓 跳键 现象 2

3 SEG7SEG6SEG5SEG4SEG3SEG2EG1显示寄存器地址和显示模式 LED 驱动控制专用电路 TM1637 该寄存器存储通过串行接口从外部器件传送到 TM1637 的数据, 地址 00H-05H 共 6 个字节单元, 分别与芯片 SGE 和 GRID 管脚所接的 LED 灯对应, 分配如下图 : 写 LED 显示数据的时候, 按照从显示地址从低位到高位, 从数据字节的低位到高位操作 SSEG8 xxhl( 低四位 ) xxhu( 高四位 ) B0 B1 B2 B3 B4 B5 B6 B7 00HL 00HU GRID1 01HL 01HU GRID2 02HL 02HU GRID3 03HL 03HU GRID4 04HL 04HU GRID5 05HL 05HU GRID6 接口说明 微处理器的数据通过两线总线接口和 TM1637 通信, 在输入数据时当 CLK 是高电平时,DIO 上的信号必须保持不变 ; 只有 CLK 上的时钟信号为低电平时,DIO 上的信号才能改变 数据输入的开始条件是 CLK 为高电平时,DIO 由高变低 ; 结束条件是 CLK 为高时,DIO 由低电平变为高电平 TM1637 的数据传输带有应答信号 ACK, 当传输数据正确时, 会在第八个时钟的下降沿, 芯片内部会产生一个应答信号 ACK 将 DIO 管脚拉低, 在第九个时钟结束之后释放 DIO 口线 1 指令数据传输过程如下图( 读按键数据时序 ) CLK DIO ommn S0 S1 S2 K1 K2 END STAR ACK 低电平 ACK 低电平芯片自动拉低芯片自动拉低 Commn: 读按键指令 ;S0 S1 S2 K1 K2 组成按键信息编码,S0 S1 S2 为 SGn 的编码,K1 K2 为 K1 和 K2 键的编码, 读按键时, 时钟频率应小于 250K, 先读低位, 后读高位 3

4 2 写 SRAM 数据地址自动加 1 模式 CLK stop LED 驱动控制专用电路 TM1637 DIO strt Commn1 Commn1: 设置数据 Commn2: 设置地址 Dt1~N: 传输显示数据 Commn3: 控制显示 Commn2 Dt1 DtN ACK strt ACK ACK ACK strt Commn3 ACK stop 3 写 SRAM 数据固定地址模式 CLK stop stop DIO strt Commn1 Commn2 Dt1 Commn1: 设置数据 Commn2: 设置地址 Dt1~N: 传输显示数据 Commn3: 控制显示 Commn2 DtN Commn3 strt strt ACK ACK ACK stop strt ACK ACK ACK stop 数据指令 指令用来设置显示模式和 LED 驱动器的状态 在 CLK 下降沿后由 DIO 输入的第一个字节作为一条指令 经过译码, 取最高 B7 B6 两位比特位以区别 不同的指令 B7 B6 指令 0 1 数据命令设置 1 0 显示控制命令设置 1 1 地址命令设置 如果在指令或数据传输时发送 STOP 命令, 串行通讯被初始化, 并且正在传送的指令或数据无效 ( 之前 传送的指令或数据保持有效 ) 4

5 1 数据命令设置该指令用来设置数据写和读,B1 和 B0 位不允许设置 01 或 MSB LSB LED 驱动控制专用电路 TM1637 B7 B6 B5 B4 B3 B2 B1 B0 功能说明 写数据到显示寄存器数据读写模式设置 读键扫数据 0 1 无关项, 填 0 自动地址增加地址增加模式设置 固定地址 测试模式设置 ( 内 普通模式 部使用 ) 测试模式 2 地址命令设设置 MSB LSB B7 B6 B5 B4 B3 B2 B1 B0 显示地址 H H 1 1 无关项, 填 H H H H 该指令用来设置显示寄存器的地址 ; 如果地址设为 0C6H 或更高, 数据被忽略, 直到有效地址被设定 ; 上电时, 地址默认设为 00H 3 显示控制 MSB LSB B7 B6 B5 B4 B3 B2 B1 B0 功能 说明 设置脉冲宽度为 1/ 设置脉冲宽度为 2/ 设置脉冲宽度为 4/ 设置脉冲宽度为 10/16 消光数量设置 1 0 无关项, 填 设置脉冲宽度为 / 设置脉冲宽度为 12/ 设置脉冲宽度为 13/ 设置脉冲宽度为 14/ 显示关显示开关设置 显示开 5

6 显示和键扫周期 6

7 程序流程图 1 采用地址自动加一模式的程序流程图开始 初始化 发送写显存的数据命令 设置起始地址 连续传送多个字 发送显示控制命令 发送读按键命令 读按键数据并存储到 MCU 寄存器 有键按下? YES NO 按键处理程序 结束 7

8 2 采用固定地址的程序设计流程图 开始 初始化 发送写显存的数据命令 设置显存地址 传送 1Byt 数据 NO 送完数据? 发送显示控制命令 发送读按键命令 读按键数据并存储到 MCU 寄存器 有键按下? YES NO 按键处理程序 结束 8

9 参考程序 /* * 版权信息 : 深圳天微电子 * 文件名 : TM1637 * 当前版本 : 1.0 * 单片机型号 : AT89S52 * 开发环境 : Kil uvision3 * 晶震频率 :.0592M * 程序功能 : 把 TM1637 所有显示寄存器地址全部写满数据 0x, 并开显示, 然后再读按键值 */ #inlu<r52.h> #inlu<intrins.h> // 定义端口 sit lk = P1^2; sit io = P1^1; ///======================================= voi Dly_us(unsin int i) //nus 延时 or(;i>0;i--) _nop_(); ///====================================== voi I2CStrt(voi) //1637 开始 lk = 1; io = 1; Dly_us(2); io = 0; ///============================================= voi I2Csk(voi) //1637 应答 lk = 0; Dly_us(5); // 在第八个时钟下降沿之后延时 5us, 开始判断 ACK 信号 whil(io); lk = 1; Dly_us(2); lk=0; ///======================================== 9

10 voi I2CStop(voi) lk = 0; Dly_us(2); io = 0; Dly_us(2); lk = 1; Dly_us(2); io = 1; LED 驱动控制专用电路 TM1637 // 1637 停止 ///========================================= voi I2CWrByt(unsin hr onbyt) // 写一个字节 unsin hr i; or(i=0;i<8;i++) lk = 0; i(onbyt&0x01) // 低位在前 io = 1; ls io = 0; Dly_us(3); onbyt=onbyt>>1; lk=1; Dly_us(3); /// unsin hr SnKy(voi) unsin hr rky,rky,i; I2CStrt(); I2CWrByt(0x42); I2Csk(); io=1; or(i=0;i<8;i++) lk=0; rky=rky>>1; // 读按键 // 读按键命令 // 在读按键前拉高数据线 // 从低位开始读 10

11 Dly_us(30); lk=1; i(io) rky=rky 0x80; ls rky=rky 0x00; Dly_us(30); I2Csk(); I2CStop(); rturn (rky); LED 驱动控制专用电路 TM1637 ///================================================ voi SmDisply(voi) // 写显示寄存器 unsin hr i; I2CStrt(); I2CWrByt(0x40); // 40H 地址自动加 1 模式,44H 固定地址模式, 本程序采用自加 1 模式 I2Csk(); I2CStop(); I2CStrt(); I2CWrByt(0x0); // 设置首地址, I2Csk(); or(i=0;i<6;i++) I2CWrByt(0x); I2Csk(); I2CStop(); // 地址自加, 不必每次都写地址 // 送数据 I2CStrt(); I2CWrByt(0x8); // 开显示, 最大亮度 I2Csk(); I2CStop(); ///==============================================

12 voi init() // 初始化略 LED 驱动控制专用电路 TM1637 // 初始化子程序 ///============================================== voi min(voi) unsin hr kyt; init(); // 初始化 SmDisply(); // 写寄存器并开显示 whil(1) kyt=snky(); // 读按键值, 读出的按键值不作处理 //===========n================================== 12

13 13 硬件连接图电路图中所接数码管为共阳数码管 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS3 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS2 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS1 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS4 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS6 DPY SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 p p DS5 TM1637 S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S S12 S13 S14 S15 S16 SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 K1 K2 GND SG1 SG2 SG3 SG4 SG5 SG6 SG7 SG8 GR6 K2 K1 CLK DIO GR1 GR2 GR3 GR4 GR5 GND SG1/KS1 SG2/KS2 SG3/KS3 SG4/KS4 SG5/KS5 SG6/KS6 SG7/KS7 SG8/KS8 GR6 K2 K1 CLK DIO VDD GR1 GR2 GR3 GR4 GR5 VCC C1 C GR1 GR4 GR5 GR2 GR3 GR6 C3 104 C4 100uF 10K 10K VCC VCC 注 : 滤波电容与芯片的 VDD, GND 之间的回路尽可能短

14 电气参数 : 1 极限参数 (T = 25, Vss = 0 V) 参数符号范围单位 逻辑电源电压 VDD -0.5 ~+7.0 V 逻辑输入电压 VI1-0.5 ~ VDD V LED SEG 驱动输出电流 IO1-200 ma LED GRID 驱动输出电流 IO2 +20 ma 功率损耗 PD 400 mw 工作温度 Topt -40 ~ +85 储存温度 Tst -65 ~ 正常工作范围 (T = -40~+85,Vss = 0 V) 参数符号最小典型最大单位测试条件 逻辑电源电压 VDD 5 V - 高电平输入电压 VIH 0.7 VDD - VDD V - 低电平输入电压 VIL VDD V - 3 电气特性 (T = -40~+85,VDD = 4.5 ~ 5.5 V, Vss = 0 V) 参数符号最小典型最大单位测试条件 高电平输出电流 Ioh ma Ioh ma GRID1~GRID6, Vo = v-2v GRID1~GRID6, Vo = v-3v 低电平输出电流 IOL ma SEG1~SEG8 Vo=0.3V 低电平输出电流 Iout ma VO = 0.4V,out 高电平输出电流容许量 Itols % VO = VDD 3V, GRID1~GRID6 14

15 输出下拉电阻 RL 10 KΩ K1~K2 输入电流 II - - ±1 μa VI = VDD / VSS 高电平输入电压 VIH 0.7 VDD 低电平输入电压 VIL V CLK,DIN 0.3 VDD V CLK,DIN 滞后电压 VH V CLK,DIN 动态电流损耗 IDDyn ma 无负载, 显示关 4 开关特性 (T = -40~+85,VDD = 4.5 ~ 5.5 V) 参数符号最小典型最大单位测试条件 振荡频率 os KHz tplz ns CLK DIO 传输延迟时间 tpzl ns CL = 15pF, RL = 10K Ω 上升时间 TTZH μs TTZH μs CL = 300p F GRID1~ GRID6 SEG1~ SEG8 下降时间 TTHZ μs CL = 300pF,Sn, Grin 最大时钟频率 Fmx KHz 占空比 50% 输入电容 CI pf - 5 时序特性 (T = -40 ~+85,VDD = 4.5 ~ 5.5 V) 参数符号最小典型最大单位测试条件 时钟脉冲宽度 PWCLK ns - 数据建立时间 tsetup ns - 数据保持时间 thold ns - 等待时间 twait μs CLK CLK 15

16 IC 封装示意图 DIP20 16

17 SOP20 17

18 修改说明 版本 修改日期 修改说明 Vr 初版发行 Vr Vr 更改对 k 信号的说明 2. 更改例程中 k 信号的程序错误 1 修改排版格式 2 修改例程中 STOP 的时序 3 修改关于 ACK 信号的描述 18

一、 概述

一、 概述 一 概述 TM629C TM629C 是带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动 键盘扫描等电路 主要应用于冰箱 空调 家庭影院等产品的高段位显示屏驱动 二 特性说明 采用功率 CMOS 工艺 显示模式 5 段 8 位 键扫描 (8 it) 辉度调节电路 ( 占空比 8 级可调 ) 串行接口 (CLK,,) 振荡方式

More information

Microsoft Word - TM1629B_V1.1.doc

Microsoft Word - TM1629B_V1.1.doc 一 概述 是带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动 键盘扫描等电路 主要应用于冰箱 空调 家庭影院等产品的高段位显示屏驱动 二 特性说明 采用功率 CMOS 工艺 显示模式 4 段 8 位 键扫描 (8 2it) 辉度调节电路 ( 占空比 8 级可调 ) 串行接口 (,,) 振荡方式 :RC 振荡 (450KHz+5%)

More information

四 管脚功能说明 : 符号管脚名称管脚号说明 数据输出输入 26 在时钟上升沿输入串行数据, 从低位开始 在时钟下降沿输出串行数据, 从低位开始 输出时为 N 管开漏输出 时钟输入 27 在上升沿读取串行数据, 下降沿输出数据 片选输入 28 K1~K3 键扫信号输入 1~3 在下降沿初始化串行接口

四 管脚功能说明 : 符号管脚名称管脚号说明 数据输出输入 26 在时钟上升沿输入串行数据, 从低位开始 在时钟下降沿输出串行数据, 从低位开始 输出时为 N 管开漏输出 时钟输入 27 在上升沿读取串行数据, 下降沿输出数据 片选输入 28 K1~K3 键扫信号输入 1~3 在下降沿初始化串行接口 一 概述 是一种带键盘扫描接口的 LED( 发光二极管显示器 ) 驱动控制专用 IC, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动 键盘扫描等电路 本产品质量可靠 稳定性好 抗干扰能力强 主要适用于家电设备 ( 智能热水器 微波炉 洗衣机 空调 电磁炉 ) 机顶盒 电子称 智能电表等数码管或 LED 显示设备 二 特性说明 采用 CMOS 工艺 显示模式 10 段 8 位 键扫描 (8

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

MPW 项目简介

MPW 项目简介 12 通道 LED 驱动控制专用电路 特性描述 是 12 通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 芯片 外接串联电阻,

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - TM1804_V1.3.doc

Microsoft Word - TM1804_V1.3.doc 特性描述 是三通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路, 内带 5V 稳压管 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 串接电阻, 工作电压支持 6V~24V 辉度调节电路,256

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

第八章 社会事业发展与边疆和谐稳定

第八章  社会事业发展与边疆和谐稳定 附 件 黑 龙 江 和 内 蒙 古 东 北 部 地 区 沿 边 开 发 开 放 规 划 2013 年 8 月 目 录 前 言.1 第 一 章 开 放 基 础 与 发 展 背 景...2 第 一 节 开 放 合 作 条 件... 2 第 二 节 机 遇 与 挑 战... 3 第 三 节 战 略 意 义... 4 第 二 章 战 略 定 位 与 发 展 目 标...4 第 一 节 指 导 思 想...

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - LM6029-AppNote-V0.2.doc

Microsoft Word - LM6029-AppNote-V0.2.doc LM6029 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本 描述 日期 编者 0.1 新版本 2005-11-22 PanFeng 0.2 修改 4 节指令集说明 LCD bias select 的指令描述 2006-02-10 PanFeng Ref LM6029-AppNote-V0.2.doc http//www.topwaysz.com 1 / 7 目录 1. 简介...3 2.

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

MPW 项目简介

MPW 项目简介 .................. 特性描述 32*8 & 24*16 驱动芯片 是一种存储器交换 显示控制的驱动芯片, 可以选择多重的 OW/COM 模式 (32OW/8COM 和 24OW/16COM), 可以用来驱动点阵 该芯片提供了软件设置的 16 个级别的脉宽调制控制输出, 可以调整 循环显示的亮度 利用串行接口串行输入的方式, 可以便捷地进入命令模式 (COMMAND MDOE ) 和数据模式

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

( )1

(  )1 課 程 名 稱 :99 國 文 (VI)/ 文 學 哲 理 美 學 (II)/ 科 目 代 號 :99C3201 商 業 文 學 與 修 辭 (II) ( )1. 下 列 文 句 中 的 詞 語, 前 後 用 法 相 同 的 選 項 是 : (A) 鯈 魚 出 遊 從 容, 是 魚 之 樂 也 / 他 步 履 從 容, 可 見 心 情 很 好 (B) 今 兒 老 太 太 高 興, 這 早 晚 就 來

More information

中醫執業資格試臨床考試結果上訴聆訊的決定及裁決理由

中醫執業資格試臨床考試結果上訴聆訊的決定及裁決理由 香 港 中 醫 藥 管 理 委 員 會 根 據 中 醫 藥 條 例 第 97 條 舉 行 中 醫 執 業 資 格 試 臨 床 考 試 結 果 上 訴 聆 訊 的 決 定 及 裁 決 理 由 上 訴 聆 訊 日 期 : 2016 年 3 月 4 日 下 午 4 時 15 分 上 訴 聆 訊 地 點 : 灣 仔 皇 后 大 道 東 213 號 胡 忠 大 廈 22 樓 會 議 室 裁 決 理 由 1.

More information

untitled

untitled v = 2 gr 2 ( p h p a 9η ) v 2 gr 2 ( p h p a 9η = ) α = R 2 ω g 6-11 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 1-2- 3-4- 5-6- 7-8- 6-12 1. 2. 3. 1 4. 5. 2 6. 7. 8. 9. 310. 11. 4 12. 1 1 2 2 1. 2. 3. 6-16

More information

MPW 项目简介

MPW 项目简介 特性描述 TM1814 是具有内控同步功能的四通道 LED 恒流可调的驱动 IC, 可由 MCU 输入一组恒流设置与 PWM 设置的数据到 TM1814 实现各式各样的显示, 当信号输入断开后实现同步固定七彩花样变化, 可防止芯片损坏导致的后续级联芯片不工作 芯片内部集成有 MCU 固化程序和单线数字接口 数据锁存器 LED 恒流驱动等电路 VDD 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

ABB Drives RTAC-0 RTAC-0 3ABD 0000980 REV A CN Based on: 3AFE 64486853 REV A EN PDM: 30005749 00 5 00 ABB RTAC-0! RTAC-0 iii iv RTAC-0 ......................................................... iii..................................................iii..................................................

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - TM1638English version.doc

Microsoft Word - TM1638English version.doc , Description LEDDriverController is LED river controller with key-scan interace, MCU iital interace, ata latch, LED hih pressure river, key-scan is interate into a sinle chip. main apply or rie, air conition

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

前言

前言 1 华 中 科 技 大 学 2014 年 研 究 生 就 业 质 量 报 告 目 录 前 言... 1 第 一 部 分 研 究 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 研 究 生 规 模 与 结 构... 2 ( 二 ) 毕 业 研 究 生 就 业 率... 2 ( 三 ) 毕 业 研 究 生 就 业 单 位 流 向... 5 ( 四 ) 毕 业 研 究 生 就 业 地 域 流 向...

More information

II

II I II 前 言 就 业 质 量 不 仅 是 高 校 人 才 培 养 质 量 的 重 要 体 现, 同 时 也 是 社 会 需 求 的 真 实 反 映 编 制 就 业 质 量 报 告, 一 方 面 有 助 于 向 社 会 反 馈 真 实 的 就 业 状 况, 积 极 回 应 对 于 大 学 生 就 业 问 题 的 关 注, 另 一 方 面 也 有 助 于 高 校 获 取 和 分 析 社 会 需 求

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

香 港 舞 蹈 總 會    北 京 舞 蹈 學 院

香 港 舞 蹈 總 會    北 京 舞 蹈 學 院 報 名 規 則 : I. 保 送 教 師 資 格 : 香 港 舞 蹈 總 會 主 辦 二 零 一 六 年 秋 季 趣 學 堂 幼 兒 舞 蹈 課 程 評 核 報 名 及 規 則 ( 請 於 報 名 前 詳 細 閱 讀 整 份 文 件 ) 學 生 必 須 由 認 可 教 師 保 送 參 加 評 核, 而 以 下 為 認 可 教 師 的 資 格 : i. 持 有 由 香 港 舞 蹈 總 會 頒 發 之

More information

TP3112A

TP3112A 特性描述 TP3112 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺输出端口耐压 24V 内部集成 5V 稳压管芯片 外接串联电阻, 电压支持 6~24V 输入辉度调节电路,256

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

Microsoft Word - ET6621.doc

Microsoft Word - ET6621.doc Etek Microelectronics ET6621 LCD 控制驱动电路 概述 ET6621 是用来对 MCU 的 I/O 口进行扩展的外围设备 显示矩阵为 32 4, 是一个 128 点阵式存储器映射多功能 LCD 驱动电路 ET6621 的软件特性使它很适合应用于 LCD 显示, 包括 LCD 模块和显示子系统 在主控制器和 ET6621 之间的接口应用只需要 3 或 4 个端口 Power

More information

Microsoft Word - JLX12832A-3G中文说明书.doc

Microsoft Word - JLX12832A-3G中文说明书.doc 使用说明书 ( 带 PCB) 目 录 序号 内容标题 页码 1 概述 2 2 特点 2 3 外形及接口引脚功能 3~4 4 基本原理 4~6 5 技术参数 7 6 时序特性 7~11 7 指令功能及硬件接口 11~13 1 1. 概述 T12832M005 可以显示 128 列 *32 行点阵单色图片, 或显示 8 个 / 行 *2 行 16*16 点阵的汉字, 或显示 16 个 / 行 *4 行

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

TONE RINGER

TONE RINGER 4A 700V N 沟道增强型场效应管 描述 SVF4N70F N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 特点 4A,700V,R

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - TM1914_V1.1.doc

Microsoft Word - TM1914_V1.1.doc 特性描述 是单线三通道 LED 恒流驱动专用电路, 可通过双通道数字接口 ( F) 互相切换输入, 与 DO 口级联, 外部控制器只需单线即可对芯片进行控制 芯片内部集成有 MCU 单线双通道数字接口 数据锁存器 LED 恒流驱动 PWM 辉度控制等电路 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管 点光源等 LED 装饰类产品 本产品性能优良, 质量可靠 功能特点 采用功率 CMOS 工艺

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

Microsoft Word - TM1621.doc

Microsoft Word - TM1621.doc 概述 TM1621 是 128 点 内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 :LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

Microsoft Word - TM2314最新修改 doc

Microsoft Word - TM2314最新修改 doc 数字控制音频处理电路 TM234 一 概述 TM234 是四对输入两声道输出数字控制音频处理芯片, 该芯片采用深亚微米 CMOS 工艺技术制造, 芯片内部包含音量 低音 高音 通道均衡 前 / 后级衰减 响度处理 ; 在一个芯片集合多个可选择的增益输入端, 外围电路元件少, 具有较好的性能和可靠性 所有的功能通过 PC 总线编程来驱动实现 TM234 采用 SOP28 封装 管脚排列合理 应用电路简单,

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

<4D6963726F736F667420576F7264202D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E62DB6A8>

<4D6963726F736F667420576F7264202D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E62DB6A8> 平 安 证 券 有 限 责 任 公 司 关 于 推 荐 深 圳 市 前 海 圆 舟 网 络 科 技 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 并 公 开 转 让 的 推 荐 报 告 根 据 中 国 证 券 监 督 管 理 委 员 会 ( 以 下 简 称 证 监 会 ) 发 布 的 非 上 市 公 众 公 司 监 督 管 理 办 法, 以 及 全 国 中

More information

<4D F736F F D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E6A3A8B7E2C3E6B2CAD3A12BD5FDCEC4BADAB0D7B4F2D3A1A3A92E646F63>

<4D F736F F D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E6A3A8B7E2C3E6B2CAD3A12BD5FDCEC4BADAB0D7B4F2D3A1A3A92E646F63> 兴 业 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 新 联 纬 讯 科 技 发 展 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 公 开 转 让 的 推 荐 报 告 二 零 一 六 年 六 月 兴 业 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 新 联 纬 讯 科 技 发 展 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

國立政治大學中國文學系國文教學碩士學位班

國立政治大學中國文學系國文教學碩士學位班 中 文 系 文 教 碩 士 在 職 專 班 102 年 度 第 一 期 碩 士 位 論 文 指 導 教 授 : 黃 志 民 先 生 三 演 義 呂 布 形 象 之 研 究 研 究 生 :96912021 吳 昆 展 中 華 民 103 年 1 月 誌 謝 從 96 年 九 月 到 今 年 一 月, 六 年 半 的 歲 月, 足 夠 再 讀 一 個 半 的 綽 綽 有 餘, 這 段 時 間 發 生 許

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information