Keil C51 V956安装说明

Size: px
Start display at page:

Download "Keil C51 V956安装说明"

Transcription

1 本课程所需工具软件, 请见 工具软件 文件夹 书 P86~P104 看看

2 1 Keil C51 V956 安装说明

3 本课程所有教学内容都是在Keil C51软件下进 行开发和调试 Keil C51是众多单片机应用开发的优秀软件之 一 它集编辑 编译 仿真于一体 支持汇编 PLM 语言和C语言的程序设计 界面友好 易 学易用

4 双击运行 c51v956.exe 程序, 弹出软 件安装向导, 点击 Next 开始安装 ;

5 勾选 I agree to all the terms 同意许可证协议 协议内容自行决定是否浏览 点击 Next 继续安装

6 自定义软件安装目录, 默认路径为 C:\Keil_v5, 安装 路径不要输入带有英文标点符号的路径, 点击 Next 进行安装

7 填写个人基本信息, 完成后点击 Next 跳过

8 等待安装进度完成, 点击 finish 完成安装

9 安装过程中如果有类似如下的提示, 选择允许程序 所有操作

10 转自网上, 破解过程 : 安装完成后以管理员身份运行软件, 点击 File, 在弹出 的下拉框中选择 License Management 进行软件注册

11 将 Computer ID 拷贝下来

12 双击运行 KEIL_Lic.exe 注册机 ( 有的杀毒软件将这个 注册机程序视为病毒, 可临时关闭杀毒软件 )

13 将注册界面的 CID 序列号复制到注册机中, 把 Target 修改为 C51, 点击 Generate 生成注册码

14 把注册码复制到之前的注册界面, 点击 AddLIC 按钮

15 出现如图所示的显示框提示代表注册成功

16 µvision User's Guide support/man/docs/uv 4cl/

17 2 STC-ISP 软件必要操作

18 STC-ISP 是一款单片机下载编程烧录软件, 是针对 STC 系列单片机而设计的, 使用简便, 现已被广泛使用 将开发板与 PC 机连接之后, 可使用 STC-ISP 软件将开 发完成的 HEX 程序文件写入单片机芯片中 安装 Keil 软件后, 进行如下操作 :

19 注 : 本课程实验系统, 以其中的小板为例, 是通过计算机的 USB 口下载程序的 单片机无法直接与计算机进行 USB 通讯, 电路上进行了 USB 转 UART 的设计 ( 通过 CH340G 转换芯片 ) 需要安装 USB to UART Driver 完整的原理图, 请见文件 : STC15W4K58S4 MIni 板原理图.pdf

20 双击 : 然后点按 安装, 直至驱动安装成功

21 如果插上实验板 可查看USB转串口的模拟串口号

22 添加 MCU 型号到 Keil 中 : Keil 软件中无法直接选择 STC 系列的单片机型号进行开发, 需通过 STC-ISP 软件添加 MCU 型号到 Keil 中 双击

23

24 点按 Keil 仿真设置

25 点按 添加型号和头文件到 Keil 中

26 在弹出的对话框中, 选择 Keil C51 的安装目录 然后点按 确定 按钮 如果出现下面左图中所示的提示 信息, 表示添加成功

27 添加头文件的同时也会安装STC的Monitor51仿真驱动 STCMON51.DLL 驱动与头文件的安装目录如下图所示

28 3 利用 Keil C51 进行编程开发

29 双击运行 Keil C51 软件

30 依次点按 Project > New uvsion Project

31 选择工程保存路径, 并输入工程名 然后点击 保存 在此输入工程文件名 在此选择保存路径 建议为每个工程项目建一个单独的文件夹 最好将工程保存在除 C 盘以外的其他盘符中, 因为 C 盘是系统盘, 容易因系统的重新安装而丢失工程文件

32 弹出的对话框中, 在下拉列表里选择 STC MCU Database

33 MCU 型号中没有 IAP15W4K58S4, 可选择最相近的型号, 即,STC15W4K32S4 然后点击 OK

34 弹出的对话框中, 选择 否 文件 STARTUP.A51 中包含了为大多数不同的 8051 内核 CPU 准备的启动代码 启动代码清除数据存储器并初始化硬件和重入函数堆栈指针 另外, 一些 8051 派生产品要求初始化 CPU 来迎合设计中的相应的硬件 这里选择 否

35 完成之后, 为如下界面 :

36 依次点按 File > New...

37 出现如下界面

38 依次点按 File > Save

39 输入文件名 后缀为.c 如main.c 输入文件名 注意 后缀名不能省略 如果使用汇编语言编写程序 则文件的后缀名应该是.asm

40 右键点按 Target 1, 然后点按 Manage Project Items

41 弹出的对话框中, 点按 Add Files :

42 弹出的对话框中, 选中刚才保存的 main.c, 并点按 Add, 然后点按 Close : 在该对话框中, 默认的文件类型是 C Source file (*.c) 若使用汇编语言进行设计, 则需要从 文件类型 下拉框中选择选择 Asm Source file(*.s*; *.src; *.a*) 文件类型, 这样以.asm 为扩展名的汇编语言程序文件才会出现在文件列表框中 从文件列表框中选择要加入的文件并双击即可添加到工程中 ; 也可以直接在 文件名 编辑框中直接输入或单击选中文件, 然后单击 Add 按钮将该文件加入工程中

43 出现如下对话框, 并点按 OK

44 将界面中的几个 + 号点开, 可以看到 main.c 已经加入 到了 Source Group 1 中

45 编写程序

46 main.c: 程序如下 #include "stc15.h" #include "intrins.h" void Delay500ms() 该函数可使用 STC-ISP 工具生成 { unsigned char i, j, k; } _nop_(); _nop_(); i = 22; j = 3; k = 227; do { do { while (--k); } while (--j); } while (--i);

47 void main(void) { P2M1=0x00; P2M0=0xFF; // 针对此处 8 个 LED 小灯的接法 : // 还可以是 P2M1=0x00;P2M0=0x00 // 还可以是 P2M1=0xFF;P2M0=0xFF // 但不能是 P2M1=0xFF;P2M0=0x00, // 即, 不能将 P2 口设置为输入模式 P2=0xFF;//8 个小灯全灭 while(1) { Delay500ms(); } } if(p2==0x00) P2=0xFF; else P2--;

48 点击 对程序进行编译 如果程序有错误 会在最下 面的框中 输出该错误的提示信息

49 程序有错误例子 : 下面的程序编译时输出该错误的提示 信息如下 : 双击错误信息行, 可进行错误定位 出错的行 在错误信息上双击鼠标, 将打开此信息对应的文件, 并定位到出现语法错误处 左图中出现 undefined identifier ( 没有定义的符号 ) 错误信息, 双击该信息, 光标会定位到出现该错误的行上, 读者很容易发现, 误将 P2 口 P2 输入成了 p2 根据错误信息提示, 修改程序中出现的错误, 直到编译成功为止

50 4 软件仿真 软件仿真 : 这种方法主要是使用计算机软件来模拟运行 用户不需要搭建硬件电路就可以对程序进行验证, 特别适合于偏重算法的程序 软件仿真的缺点是无法完全仿真与硬件相关的部分, 因此最终还要通过硬件仿真来完成最终的设计...

51 软件仿真 : 利用计算机虚拟一个单片机, 代码在这个虚拟的单片机中运行 由计算机模拟单片机的运行过程, 给出运行的结果 这个结果与实际运行的结果绝大多数情况下没有差别, 所以可以仿真 但仿真与实际有时候也有差别, 特别是有较多的复杂的外设的情况下 Keil C51 的软件仿真功能很强大, 它能够仿真几乎所有的内部资源及外围的几乎所有的 IO 口 串口 I2C SPI AD 等 在没有硬件资源的情况下, 可使用软件仿真功能来检测程序的正确性, 尤其适合于偏重算法的程序

52 依次点击 Project -----> Options for Target 'Target 1'...

53 弹出的对话框中点按 Debug, 可以看到 Keil C51 现在 处于 Use Simulator 调试模式, 即软件仿真模式 点击 OK 关闭该对话框 选择软件仿真调试功能

54 点按 Debug 按钮

55 出现如下界面

56 Debug工具条说明

57 Debug工具条 复位 其功能等同于硬件上按复位按钮 相当于实现了一次硬复位 按下 该按钮之后 代码会重新从头开始执行 执行到断点处 该按钮用来快速执行到断点处 有时候你并不需要观看每 步是怎么执行的 而是想快速的执行到程序的某个地方看结果 这个按钮 就可以实现这样的功能 前提是你在查看的地方设置了断点 停止运行 此按钮在程序一直执行的时候会变为有效 通过按该按钮 就 可以使程序停止下来 进入到单步调试状态

58 执行进去 该按钮用来实现执行到某个函数里面去的功能 在没有函数的情况下 是 等同于执行过去按钮的 执行过去 在碰到有函数的地方 通过该按钮就可以单步执行过这个函数 而不进入 这个函数内部单步执行 执行出去 该按钮是在进入了函数内部单步执行的时候 有时候你可能不必再执行该 函数的剩余部分了 通过该按钮就直接一步执行完函数余下的部分 并跳出函数 回 到函数被调用的位置 执行到光标处 该按钮可以迅速的使程序运行到光标处 其实是挺像执行到断点处按 钮功能 但是两者是有区别的 断点可以有多个 但是光标所在处只有一个

59 汇编窗口 通过该按钮 就可以查看汇编代码 这对分析程序很有用 堆栈局部变量窗口 该按钮按下 会弹出一个显示变量的窗口 在里面可以查看各种 你想要看的变量值 也是很常用的一个调试窗口 串口打印窗口 该按钮按下 会弹出一个类似串口调试助手界面的窗口 用来显示从 串口打印出来的内容 内存查看窗口 该按钮按下 会弹出一个内存查看窗口 可以在里面输入你要查看的 内存地址 然后观察这一片内存的变化情况 是很常用的一个调试窗口 性能分析窗口 按下该按钮 会弹出一个观看各个函数执行时间和所占百分比的窗口 用来分析函数的性能是比较有用的 逻辑分析窗口 按下该按钮会弹出一个逻辑分析窗口 通过SETUP按钮新建一些IO口 就可以观察这些IO口的电平变化情况 以多种形式显示出来 比较直观

60 如下, 依次点按 Peripherals -----> IO-Ports -----> Port 2

61 出现以下界面 其中 P2 是 P2 口的输出锁存器 (8 个 bit 的 锁存器 ),Pins 是 P2 口的管脚 (8 个管脚 )

62 点击, 运行程序 可以发现 P2 口的输出, 在不停的变化 以下两图, 为不 同时刻 P2 口的输出的两个截图 打对号的, 表示该位为 1, 否则, 表示该位为 0

63 可以利用 Keil C51 软件的逻辑分析仪功能, 更形象的观 察 P2 口的输出变化 点击下图中的倒三角符号, 点按 Logic Analyzer

64 然后点按下图中的 Setup

65 弹出如下对话框

66 点按 New 控件

67 输入 P2.0, 并回车

68 然后选中 P2

69 在下图所示下拉列表中, 选中 Bit 注意最下面红框中的 信息

70 与之前类似, 再点击 New 控件, 输入 P2.1 并回车

71 然后选中第二行的 P2 在下图所示下拉列表中, 选中 Bit 注意最下面红框中的信息

72 类似以上的操作, 再依次加入 P2.2 P2.3 P2.4 P2.5 P2.6 P2.7 并注意观察最下面红框中的信息不同之处 然后点按 Close, 关闭该对话框

73 出现如下界面

74 多次点按 Zoom Out 可出现如下界面 如果显示不开, 可将 Logic Analyzer 区域拉大点 也可以用单步执行等方式, 观察程序运行结果

75 5 实际运行

76 如果在有实验板的情况下 可将开发完 成后的程序下载到实验板中实际运行 完整的原理图 请见文件 STC15W4K58S4 MIni板原理图.pdf

77 点按 Options for Target 'Target 1'...

78 弹出的对话框中, 选中 Output 页, 并勾选 Create HEX File(hex 文件格式是可以烧写到单片机中, 被单片机执 行的一种文件格式 ) 然后点击 OK 关闭该对话框 选中此处

79 点 Rebuild, 重新编译源程序

80 在保存工程的文件夹中的 Objects 文件夹里面, 可以看 到, 重新编译后生成的 Led_test.hex 文件

81 下面利用STC-ISP软件将Led_test.hex程序文件下载到实验 板中 确保实验板已连接至计算机 并运行STC-ISP软件

82 在单片机型号中, 选中 IAP15W4K58S4

83 点按 打开程序文件 并在弹出的对话框中选择之前生 成的Led_test.hex程序文件 然后点按 打开 另 串 口号也要选对

84 然后点按 下载 / 编程

85 点按 下载 / 编程 后, 提示 : 正在检测目标单片机

86 然后按动一下 按下去再松开 实 验板上的DOWNLOAD按键 完整的原理图 请见文件 STC15W4K58S4 MIni板原理图.pdf

87 STC-ISP会开始下载程序 下载完成后 单片机会运行用 户下载的程序

88 注 :STC-ISP 下载程序的过程 : STC15 系列单片机内部固化有 ISP 系统引导固件, 通过它, 用户可以把用户程序下载到单片机中进行程序的实际运行测试 单片机重新上电 ( 冷启动 ) 时, 将首先运行系统 ISP 程序, 如 P3.0/RXD 检测到合法的下载命令流就下载用户程序, 如检测不到就复位到用户程序区, 运行用户程序 使用 ISP 下载程序时, 计算机端的 ISP 控制软件必须先发下载命令流, 再给单片机上电复位 : 必须让单片机掉电后重新上电, 外部手动复位或者看门狗复位都不能使单片机运行 ISP 程序

89 之前下载程序时 按动一下 按下去再松开 实验板上 的DOWNLOAD按键 目的 就是让单片机上电复位 DOWNLOAD按下时 三极 管Q0的发射极 标3的 和 基极 标1的 之间压降为0 Q0的发射极和集电极 标4 的 之间处于截至状态 Vmcu与VCC之间断开 单 片机掉电 完整的原理图 请见文件 STC15W4K58S4 MIni板原理图.pdf DOWNLOAD松开弹起时 三极管Q0的发射极 标3的 和基极 标1的 之间为正 向压降 Q0的发射极和集 电极 标4的 之间处于导 通状态 Vmcu约等于VCC 单片机重新上电

90 注 :VCC 的电来自于 USB 总线 ( 前提是下图中的 POWER 电源开关处于按下状态 ) 计算机的 USB 口可对外供电 用计算机的 USB 口给手机充电, 即为计算机的 USB 口对外供电 完整的原理图, 请见文件 : STC15W4K58S4 MIni 板原理图.pdf

91 6 硬件仿真硬件仿真 : 使用单片机仿真器来替代用户系统的单片机并完成单片机全部或大部分的功能 使用了单片机仿真器后用户就可以对程序的运行进行控制, 例如单步, 全速, 查看资源断点等 硬件仿真是开发过程中所必须的 单片机仿真器是在产品开发阶段用来替代单片机进行软硬件调试的非常有效的开发工具 使用单片机仿真器可以对单片机程序进行单步 断点 全速等手段的调试, 在集成开发环境中检查程序运行中单片机 RAM 寄存器内容的变化, 观察程序的运行情况 与此同时可以对硬件电路进行实时的调试 使用单片机仿真器可以迅速发现和排除程序中的错误, 从而大大缩短单片机开发的周期

92 利用STC-ISP软件下载程序到实验板实际运行的方式 如果程序设计有问题 只能通过实际运行的结果 无法采用单步 全速 查看资源断点等调试方 式 猜测程序中的错误之处 然后到Keil C51中修改程序 重新编译 然 后再用STC-ISP软件重新下载程序 多次反复直至实际运行正确 很是繁琐 并且 如果程序很大时 发现错误解决错误的周期会比较长

93 一个 1995 年产的单片机硬件仿真器 老 物件

94 仿真器铭牌

95 仿真器内部

96 仿真器内部

97 早期的单片机没有Flash程序存储器等技术 无法对单片机进行多次编程 一般是 经 硬件仿真没问题后的程序 通过专用的烧写器将程序 烧 入目标单片机 硬件仿真时 将单片机拔 下 将仿真器的排线插至 单片机所在的位置 由仿 真器代替单片机的运行 计算机利用仿真器进行单 步 全速 查看资源断点 等在线调试 连接目标设备的排线

98 能不能利用单片机的 Flash 程序存储器可多次擦写技术, 在单片机 Flash 程序存储器中下载一段仿真器功能的程序, 将单片机变成支持硬件仿真的 仿真器 模式? 从而, 可以利用 Keil C 软件在线进行调试, 可以使用单步执行 断点等灵活调试方式, 可以在线的观测各变量的值 这样比较容易发现程序中错误之处, 方便程序开发 IAP15W4K58S4 可以变成 仿真器

99 在 STC-ISP 软件中选择 Keil 仿真设置页, 并在下拉列表 中选择 IAP15W4K58S4

100 然后点击

101 软件提示 : 正在检测目标单片机

102 然后按动一下 按下去再松 开 实验板上的 DOWNLOAD按键

103 STC-ISP 软件开始下载一些特殊的程序, 这些程序将 把 IAP15W4K58S4 单片机变成一个 仿真器

104 然后在Keil C51软件中 点按 Options for Target...

105 Output 页, 确保已勾选 Create HEX File Debug 页, 点选 Use, 并在下拉列表中选中 STC Monitor-51 Driver

106 点 Settings, 并在弹出的对话框中选择串口号 然后 点 OK 注 : 串口号要选择正确, 之前有叙述如何查看串口号

107 然后点按 OK, 关闭 Options for Target...

108 重新编译源程序后, 点按 Debug 按钮 出现以下界面

109 在这个调试界面中 可以单步 断点等方式执行 也 可以查看各变量和寄存器的值 还可以实际观察实验 板的实际运行结果 但Logic Analyzer不再可用 此过程中 不 再需要手动的 用STC-ISP软 件下载程序

110 注 :STC-ISP 软件将 IAP15W4K58S4 单片机编程为仿真器, 是将仿真监控程序下载到 IAP15W4K58S4 单片机中, 从而使得 IAP15W4K58S4 单片机能够在线仿真调试 IAP15W4K58S4 监控程序需要占用以下资源 : 程序 Flash:6K B (0D000H-0E7FFH), 用户可用使用 0000H-0CFFFH 的 52KB 程序空间 XDATA:768 B (0C00H-0EFFH), 用户可用使用 0000H-0BFFH 的 3K 扩展 RAM 端口 :P3.0 和 P3.1 需要使用串口 1 时, 可以将串口 1 切换到 P3.6/P3.7 或者 P1.6/P1.7

111 上述仿真器的使用方案称为单 CPU 方案, 即单片机本身即作为用户单片机使用, 同时又作为仿真器使用 使用时, 应注意以下事项 : 1 用户不可访问仿真系统区的 0D000H-0E7FFH 区域的 6KB 代码空间 ; 2 用户不能修改 0C00H-06FFH 区的 768B 的 XDATA; 3 用户不能向 P3.0 口和 P3.1 口写数据 ; 4 用户不能使用与 P3.0 和 P3.1 相关的中断和功能 ( 包括 INT4 中断 定时器 2 的时钟输出 定时器 2 的外部计数 串口 1); 5 若仿真源代码为汇编程序, 则第一条语句必须是长跳转语句 LJMP, 不能使用 JMP SJMP AJMP 或其它语句

112 这种单 CPU 硬件仿真方案的缺点 : 需要占用用户的资源, 程序 Flash:6K B, XDATA:768 B, 端口 : P3.0 和 P3.1 但也是一种经济实惠的硬件仿真方案 目前的单片机 ( 一般几块钱左右 ) 内部资源也都比较多, 占用一少部分资源来做单 CPU 硬件仿真器, 一般问题不大 现在的单片机 Flash 程序存储器可以擦写 10 万次左右, 经过单 CPU 硬件仿真后, 可将程序直接写入目标单片机, 用实际运行结果去检验程序设计, 有问题再修改程序重新写入 就算一个程序要改 10 万次, 硬件成本也才几块钱

113 如果不使用单 CPU 硬件仿真方案, 市面上也有其 它硬件仿真器, 比如 : 支持单步 断点 随时可查看寄存器 变量 IO 内存内容 不占资源, 无限制真实仿真 (32 个 IO 串口 T2 可完全单步仿真 ), 真实仿真 32 条 IO 脚, 包括任意使用 P30 和 P31 口 完全真实仿真标准 8051/8052 单片机的所有功能, 可仿真部分单片机的增强型功能

114 使用时将仿真 器插到目标单 片机所在的位 置

115 硬件仿真完成后, 将调试完成的程序写入目标单片机, 再将目标单片机插上

116 7 STC-ISP 软件实用小功能

117 软件延时计算器:选择软件延时计算器页.

118 软件延时配置过程 : 系统频率 ( 以 Mhz 为例 ); 定时长度 ( 以 500 为例 ), 单位 ( 以毫秒为例 );8051 指令集 (STC15 系列为 STC-Y5) 然后点击生成代码, 复制代码就 OK 了 特别注意, 工程中要包含 _nop_() 函数的头文件 intrins.h, 就可以避免出错了

119 定时器计算器 : 选择定时器计算器页 先选择系统频率, 即系统时钟频率 ( 我们选择的是 MHz); 再输入定时时间 (5), 单位 ( 毫秒 ); 然后选择哪一个定时器 ( 定时器 0), 然后选择工具模式 (16 位 ), 然后选择定时器时钟 (12T 模式 ), 然后再点击生成 c 代码 ( 定时器 0 初始化函数 )

120 波特率计算器 : 选择波特率计算器页 先选择系统频率, 即系统时钟频率 ( 我们选择的是 MHz); 再输入波特率 (9600),UART 选择 ( 串口 1),UART 数据位 (8 位数据 ); 然后选择哪一个定时器 ( 定时器 1,16 位自动重装载 ), 然后选择定时器时钟 (12T 模式 ), 然后再点击生成 c 代码 ( 串口初始化函数 )

121 串口助手 : 选择串口助手页 串口助手, 是串行通信 接口调试软件, 广泛应用于单片机控制领域的数据监 控 数据采集 数据分析等工作

122 STC-ISP 软件其它功能, 希望大家能 够有自学精神, 剩下的自己去探索

123 8 单片机之 DIY

124 对于电路初学者来说,PCB 设计还没掌握, 但又热爱制作的, 怎么办? 一块洞洞板 ( 万用板 ) 面包板, 买好元件器, 焊接就行

125 万用板 面包板

126 将来会发给大家的小实验板上 单片机的引脚全部引出了 可 以通过飞线进行扩展

127 可以扩展数码管 继电器 温度传感器 湿度传感器

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

Microsoft Word - Codewarrior IDE快速入门.docx

Microsoft Word - Codewarrior IDE快速入门.docx Codewarrior IDE 快速入门 本章我们以 Codewarrior HC08 V3.1 为例, 创建一个工程, 编辑源文件, 编译后进行调试 1 创建新项目 打开 codewarrior IDE 后, 出现如图 2.1 的界面 在 File 菜单中选择 New 图 2.1 codewarrior 在弹出的新窗口中, 选择 HC(S)08 New Project Wizard, 在 Project

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Microsoft Word - CX1000-HMI_程序开发_PLC通讯

Microsoft Word - CX1000-HMI_程序开发_PLC通讯 用 VB.Net 开发 CX1000 的 HMI 第二部分和 TwinCAT PLC 通讯 一 TwinCAT 动态库 TwinCAT.Ads.dll The TwinCAT.Ads.dll 是一个.NET 类库, 它提供和 ADS 设备通讯的类 如果 TwinCAT PLC 运行在 IPC 上, 则需要添加的类库是路径 \TwinCAT\ADS Api\.NET\v1.1.4322 下的 TwinCAT.Ads.dll

More information

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63>

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63> 下面介绍 U-Link J-Link 和 ISP 下载 STM32 程序的配置方法, 以 MDK3.24 为例, 也 可以使用 MDK3.80 或者更高版本的 MDK, 过程类似 此文档仅供学习和交流使用 STM32 程序 U-Link 下载说明 (1)ULink 下载工具如图 1 所示 图 1 ULink 下载工具 (2) 双击 MDK 安装文件图标进行安装, 在后续出现的窗口中依次点击 Next

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

LETD型LED灯炮规格的更改

LETD型LED灯炮规格的更改 2018 十二月 1/10 页 软件错误问题软件错误 1 Automation Organizer(WindLDR) 软件之修复 针对版本 : WindLDR 版本 8.2.0 至版本 8.9.1 (Automation Organizer 版本 3.20 至 版本 3.12.1) 注 : 包括由官网下载的版本 当使用以上版本软件, 软件错误 1 将会出现在以下型号 : FC6A CPU 模块 -

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

第六章 LED流水灯范例详解

第六章  LED流水灯范例详解 51 单片机新手入门实例详解 伟纳电子 www.willar.com 前言 : 本文已发表在 电子制作 2006 年第一期, 本文也同样适用于伟纳其他开发系统如最新推出的 ME500 单片机综合开发系统等 相关最新资料和技术支持请浏览官方网站 : http:// http://www.mcusj.com( 伟纳单片机世界 ) 本刊 2005 年第 11 期上介绍了一款集成有实验 编程 仿真 ISP

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

目 录

目 录 目录 第 1 章 TKStudio IDE 简介... 1 第 2 章使用 JLink 调试... 3 2.1 配置输出文件格式... 3 2.2 配置仿真器驱动... 3 2.2.1 JLinkGDBServer 路径... 7 2.2.2 接口类型... 7 2.2.3 目标地址... 8 2.2.4 端口... 8 2.2.5 初始化命令脚本... 8 2.2.6 使用 JFlashARM 烧写...

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

CC Debugger使用手册

CC Debugger使用手册 深圳市微雪电子有限公司 CC Debugger 使用手册 www.waveshare.net Xuwenjie 2012/12/8 目录 第一章 : 概述... 3 1.1 特性... 3 1.2 支持设备... 3 1.3 支持软件... 4 1.4 技术参数... 4 第二章 : 硬件描述... 5 2.1 1 USB 接口... 5 2.2 2 LED 指示灯... 5 2.3 3 RESET

More information

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已

M7 uclinux 设计实例 快速指南 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已 开始前准备 为方便用户快速使用 Primace 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器件 uclinux 的完整设计流程 开始之前, 请先确保 : Primace(7.0),Keil,USB 及 ADGI 驱动已经安装, 并能正常运行 ; 准备好 CME-M7 器件及下载线 ; 准备好演示文件 ( 位于软件安装目录下, 默认 : C:\capital_micro\primace7.0\Examples\M7\primace\M7

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

USB Debug Adapter用户手册.pdf

USB Debug Adapter用户手册.pdf USB Debug Adapter For C8051F MCU 用 户 手 册 目 录 USB Debug Adapter 简介...1 开发工具特点...1 产品标配附件...1 仿真器接口关系图...2 USB Debug Adapter 安装说明...3 USB Debug Adapter 在 Silabs IDE 中的设置说明...5 USB Debug Adapter 在 Keil 3

More information

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple halcon 与 C# 混合编程之 Halcon 代码调用 写在前面 完成 halcon 与 C# 混合编程的环境配置后, 进行界面布局设计构思每一个按钮所需要实现 的功能, 将 Halcon 导出的代码复制至相应的 C# 模块下即可 halcon 源程序 : dev_open_window(0, 0, 512, 512, 'black', WindowHandle) read_image (Image,

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

M7 uclinux 设计实例快速指南 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并

M7 uclinux 设计实例快速指南 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并 开始前准备 为方便用户快速使用 Fuxi 设计 M7 器件, 本手册将基于软件安装包自带的例程和 M7 器件, 介绍 M7 器 件 uclinux 的完整设计流程 开始之前, 请先确保 : Fuxi(1.0),Keil,USB 及 ADGI 驱动已经安装, 并能正常运行 ; 准备好 M7 器件及下载线 ; 准备好演示文件 ( 位于软件安装目录下, 默认 : C:\hercules_micro\fuxi2018.1\Examples\M7\fuxi\M7

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

单片机课程设计安排 2 人一组, 自由组合 选题后, 需自己查阅芯片资料, 设计方案, 预算耗材, 绘制原理图 需自行下载 Keil 软件编程,VS2010 或 VS2005

单片机课程设计安排 2 人一组, 自由组合 选题后, 需自己查阅芯片资料, 设计方案, 预算耗材, 绘制原理图 需自行下载 Keil 软件编程,VS2010 或 VS2005 微机原理 ( 单片机 ) 课程设计 任课教师 : 郭玉洁 姚分喜 地点 :7#218 计算机技术实验室 单片机课程设计安排 2 人一组, 自由组合 选题后, 需自己查阅芯片资料, 设计方案, 预算耗材, 绘制原理图 需自行下载 Keil 软件编程,VS2010 或 VS2005 08:30-11:30 D1 D2 D3 D4 D5 选题 设计方案 焊接电路 编程 编程 编程 调试 调试 调试 13:30

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

TMS320C6678入门笔记.doc

TMS320C6678入门笔记.doc TMS320C6678 入门笔记艾睿电子蒋新刚本入门笔记, 通过建立一个最简单 TMS320C6678 的工程文件, 详细记录了如何建立工程, 如何配置工程文件, 如何进行工程调试, 其目的是给予初次学习多核器件 TMS320C6678 的网友以指导! 不足之处, 请见谅! 第一步, 安装 CCSV5, 很简单, 此处略过第二步, 运行 CCSV5, 起动过程中会要求设置工作区路径,CCSV5 起动后,

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加

如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加 如何使用 CCS v5 调试 DM81XX 的 M3 代码 在调试 M3 的代码时, 用户需要实时查看参数值 如果在 IPNC RDK 串口终端上直接打印输出参数值, 每增加一个参数就需要添加打印代码, 还需要重新编译下载, 麻烦而且效率低 CCS 提供的调试功能可以方便的查看参数值, 随时可以增加参数, 还可以在系统运行时修改参数值, 方便高效 本文以 DM8127 VPSS M3 为例介绍如何用

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se 1 SQL Server 2005 DBA Microsoft SQL Server SQL ServerSQL Server SQL Server SQL Server SQL Server SQL Server 2005 SQL Server 2005 SQL Server 2005 o o o SQL Server 2005 1 SQL Server 2005... 3 2 SQL Server

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Microsoft PowerPoint - M241 firmware flashing guide pptx

Microsoft PowerPoint - M241 firmware flashing guide pptx M241 firmware change guide M241 固件更新指南 In English and Chinese 中英双语 Version 2, June 2018 版本 2,2018 年 6 月 1 English Version starts from here 英语版本由此页开始 Please go to page 23 for Chinese version 中文版本从 23 页开始

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

目 录 一. 使 用 前 的 软 件 准 备 和 安 装... 3 二. 安 装 USB 驱 动... 3 三. 下 载 LD_DOWN.hex 到 STC 单 片 机... 4 四. 使 用 开 发 板 配 套 软 件 ICR_DT1.exe... 6 五. 串 口 打 印 信 息 的 接 收..

目 录 一. 使 用 前 的 软 件 准 备 和 安 装... 3 二. 安 装 USB 驱 动... 3 三. 下 载 LD_DOWN.hex 到 STC 单 片 机... 4 四. 使 用 开 发 板 配 套 软 件 ICR_DT1.exe... 6 五. 串 口 打 印 信 息 的 接 收.. B-LD3320 开 发 板 使 用 及 配 套 软 件 使 用 说 明 Update@2011 年 05 月 18 日 ICRoute 用 声 音 去 沟 通 VUI (Voice User Interface) Web : www.icroute.com Tel : 021-68546025 Mail: info@icroute.com 1 目 录 一. 使 用 前 的 软 件 准 备 和 安

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

xforce keygen microsoft office 2013

xforce keygen microsoft office 2013 Xforce Keygen Microsoft Office 2013 ->->->-> http://shurll.com/78610 1 / 5 2 / 5 Generally, Autodesk,,Vault,,Office,,2016,,555H1,,Autodesk,,Vault,,Professional,,2016,,569H1,,Autode sk,,vault,,workgroup,,2016,,559h1,,autodesk,,vehicle,,tracking,,2016,,955h1,,autodesk,,vred...

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww 文档编号 _CH 文档版本 Rev. A 文档摘要 描述了使用 Trace32 来调试运行于 SDRAM 的 SAM9 代码的方法和过程 关键词 Trace32 SAM9 SDRAM 程序 创建日期 2010-01-19 创建人员 Dracula 审核人员 Hotislandn 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档, 转载请注明出处 更新历史 版本时间更新作者

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information