DATASHEET SEARCH SITE ==

Size: px
Start display at page:

Download "DATASHEET SEARCH SITE =="

Transcription

1 用于万用表的 3 3/4 位 LCD 显示,3260- 计数 A/D 转换器 描述 SC7001Q 是一个低功耗的 3 3/4 位 A/D 转换器, 此电路有 33 个柱状图表的显示段驱动器, 自动调节范围, 单电源 3V 供电 此电路是一个理想的高性能自动调节数字万用表电路, 全量程有 3260 格 SC7001Q 提供了较多的用户功能 : 手动调节范围 / 数据保留 / 自动关闭电源 / 电压 / 电流 / 电阻测量 / 二极管测试 / 连续检测 内置交流 / 直流转换运放和 CMOS 带隙基准, 可以节省外部器件 此外, 内置倍压器, 可以采用 3V 单电源供电 主要特点 * 自动调节量程 -- 电压 (DC/AC):326.0mV(NA for AC), 3.260V, 326.0V, 3260V -- 电阻 326.0Ω,3.260kΩ, 32.60kΩ, 326.0kΩ, 3.260MΩ, MΩ -- 电流 (DC/AC):326.0μA, 3260μA, 32.60mA, 326.0mA, 10A * 三重 LCD 驱动, 包括小数点, 图表和报警信号 * 低功率消耗 : 小于 1.8mW * 3V 电池工作 * 内部倍压器, 交流 - 直流转换运放 * 量程选择 / 显示保留 / 自动关闭电源 / 二极管测试 / 连续检测功能 * 内置 CMOS 带隙基准 QFP 产品规格分类产品封装 SC7001Q QFP-80-14X 应用 * 手持数字万用表 * 袖珍数字万用表 * 笔形万用表 共 19 页第 1 页

2 内部框图 1. 模拟模块 RREF COM RMEAS IN M K K K M VMEAS IN VDD RINTH(L) RINTH(H) CAUTO ZERO(H) CINTH RINTL(H) RINTL(L) CAUTO ZERO(L) CINTL D MEAS IN O MEAS IN A GND 衰减器 & 传输模块 偏置 高速 A/D 低速 A/D GO TO digital GO TO digital AC AMP OUT AC AMP IN(-) 交流 - 直流放大器 ohm 源缓冲器 电平转换 & 解码 A/D 输入模块 带隙基准 From digital REF OUT VSS CFILT OUT CFILT IN AC CKT IN(+) AC CKT IN(-) REF IN A COM 2. 数字模块 O MEASIN RINTH(L) RINTH(H) CAUTO 0(H) CINTH RINTL(L) RINTL(H) CAUTO 0(L) CINTL VDD D MEASIN 衰减 & 传输高速 A/D 低速 A/D 高速定时 & 控制模块 VSS OSCO OSCI 时钟发生器 偏置 & Ohm 源缓冲器 电平转换 & 解码 SDP 低速定时 & 控制模块 REFOUT DGND F1 A/D 输入模块 AC to DC 放大器 锁存器 & 解码器 功能选择解码器 F9 电压 三重 LCD 驱动 功率控制 蜂鸣控制 CVDout CVD- CVD+ SDP1 SDP26 BP1~BP3 PWR MON AUTO PWR2 AUTO PWR1 BEEP OUT 共 19 页第 2 页

3 极限参数 ( 注 1) 参 数 符号 范 围 单位 电源电压 (VDD to A COM) VDD 4 V 电源电压 (VSS to A COM) VSS -4 V 数字输入电压 DVIN -0.3~VDD+0.3 V 模拟输入电压 AVIN VSS-0.3~VDD+0.3 V 数字输出电流 DIOUT -1~+1 ma 模拟输出电流 AIOUT -3~+3 ma 蜂鸣输出电流 IBEEP -1~+1 ma LCD 输出电流 ILCD -0.1~+0.1 ma 工作温度范围 TOPR 0~+50 C 存储温度范围 TSTG -40~+125 C 注 : 1. 除非特别说明, 所有电压均是相对于 A COM 测得的 独立的 A GND, D GND 和 A COM 应 该连接在一起 推荐工作条件 参 数 符号 范围最小值典型值最大值 单位 电源电压 VDD V 电源电压 VSS V 数字低电平电压输入 DVIL 0.5 V 数字高电平电压输入 DVIH VDD-0.5 V 参考输入电压 REF IN V LCD-ON 驱动电压 VLCD-ON 2.5 V LCD-OFF 驱动电压 VLCD-OFF 1.3 V 工作温度范围 Topr 0 50 C 时钟频率 f KHz 电气特性 ( 除非特别说明,Tamb=25 C, VDD=3V, REF IN= V, f =32.768KHz) 参 数 符号 测试条件 最小值典型值最大值单位 工作电流 IDD 在 DCV 模式, VIN=0mV, 倍压器工作 600 μa 关机电流 IPO 关机 ( 掉电 ) μa 数字高电平输入电流 IIH VIH =3V μa 数字低电平输入电流 IIL VIL=0V -10 μa ( 见下页 ) 共 19 页第 3 页

4 ( 接上页 ) 参 数 符号 测试条件 最小值典型值最大值单位 测试管脚漏电流 ILEAK pa 数字高电平输入电压 VIH 2.5 V 数字低电平输入电压 VIL 0.5 V 内置参考电压输出范围 REF OUT V 内置参考带南亚输出系数 ΔREF OUT VDD=2.4~3.6V ppm/v 电池检测内部比较器偏置电压 VBATT 管脚 47 的电压 mv LCD-on 驱动电压 VLCD-ON 2.5 V LCD-off 驱动电压 VLCD-OFF 1.3 V DCV 测量 ERR-DCV %/FS DCA 测量 ERR-DCA %/FS ACV 测量 ERR-ACV %/FS 线性度 ACA 测量 ERR-ACA %/FS 32.6MΩ 除外 %/FS 电阻测量 ERR-R 32.6MΩ 范围 %/FS 二极管测试 ERR-D 全量程 =2.0V %/FS 选项测量 ERR-OPT %/FS 蜂鸣输出频率 fbeep Hz 连续性检测值 RCC Ω 交流 - 直流转换放大器增益 AV 输入范围 :-1~1V 70 db O.L 显示计数 D O.L count 共 19 页第 4 页

5 管脚排列图 共 19 页第 5 页

6 管脚描述 管脚号 名称 描 述 1 BP1 LCD 显示底板 1 2~27 SDP1~SDP26 段显示 28 LOWBAT 低电池检测电压输入 29 PWRMON 功率监控输出 30 AUTO PWR1 自动开 / 关机选择 1 31 AUTO PWR2 自动开 / 关机选择 2 32 VDD 电源电压 33 CINTH 高速 A/D 集成电容器连接 34 CAUTO ZERO(H) 高速 A/D 自动零电容连接 35 RINTH(H) 高速 A/D 集成电阻连接 (H) 36 RINTH(L) 高速 A/D 集成电阻连接 (L) 37 A COM 模拟电路参考电压 38 REF IN 参考电压输入 39 AC AMP OUT 内置 AC 放大器输出 40 AC AMP IN(-) 内置 AC 放大器负输入 41 AC CKT IN (+) AC 测量位置输入 42 CFILT OUT 外接滤波器输出管脚 43 CFILT IN 外接滤波器输入管脚 44 AC CKT IN(-) AC 测量负输入 45 A GND 模拟地 46 NC 悬空 正常工作时, 此管脚悬空 47 REF OUT 内置带隙基准电压输出 48 CINTL 低速 A/D 集成电容连接 49 CAUTO ZERO(L) 低速 A/D 自动零电容连接 50 RINTL(L) 低速 A/D 集成电阻连接 (L) 51 RINTL(H) 低速 A/D 集成电阻连接 (H) 52 R MEAS IN 电阻和电流测量输入 53 D MEAS IN 二极管和电流高量程测量管脚 54 I MEAS IN 电流测量输入 55 VMEAS IN 电压测量输入 MΩ 电压测量 10 衰减 (326.0kΩ 量程 ) KΩ 电压测量 100 衰减 (32.60kΩ 量程 ) KΩ 电压测量 1000 衰减 (3.260kΩ 量程 ) KΩ 电压测量 1000 衰减 (326.0Ω 量程 ) ( 见下页 ) 共 19 页第 6 页

7 ( 接上页 ) 管脚号 名称 描 述 MΩ 电阻测量 3.260MΩ 量程 61 RREF COM 衰减电阻公共连接端 62 VSS 模拟电路的负电源连接 63 D GND 数字地 64~72 F1~F9 功能输入管脚 1~9 73 CVD OUT 倍压器输出 74 CVD(-) 倍压器电容连接 (-) 75 CVD(+) 倍压器电容连接 (+) 76 OUT 蜂鸣频率输出 (4096Hz) 77 OSC OUT X-tal 连接 (32.768KHz) 78 OSC IN X-tal 连接 79 BP2 LCD 显示底板 2 80 BP3 LCD 显示底板 3 功能描述 1. SC7001Q 集成双斜率 A/D 转换器 SC7001Q 包含一个低速 A/D 和一个高速 A/D 转换电路 低速 A/D 转换电路当在 320mv 电压下采用一个模拟直流输入信号, 低速转换按照 AUTO ZERO(A.Z) INTEGRATION (INT) DEINTEGRATION (DEINT) AUTO ZERO 的顺序进行 在电压测量模式时, 一次转换花 519.7ms DEINTEGRATION 间隔计数数据传输到 LCD 并且决定了输出值 高速 A/D 转换电路 量程选择和柱状显示由高速转换控制, 跟低速转换控制的道理一样 在电压测量模式, 一次 转换花 80ms Vout 双斜率转换 ( 负输入 ) 时间 Auto-zero 输入积分 微分 (T1) (T2) (T3) 双斜率 A/D 转换器 ( 负输入 ) 的波形和内部框图 共 19 页第 7 页

8 转换时间 时间转换时间 T1 T2 T3 速度 T1+T2+T3 低速 A/D 100ms 200ms 219.7ms(109.8ms)* 519.7ms(409.8ms) 高速 A/D 40ms 20ms 20ms(10ms) 80ms(70ms) * : 括号内的值是针对电流测量模式 2. 电压测量 在电压测量模式, 由于输入信号通过一个内部缓冲放大器应用到积分器, 所以输入阻抗非常 高 量程通过内部开关选择一个合适的通道并将一个未知电压衰减到 1/1, 1/10, 1/100, 1/1000, 1/10000 来自动改变 MΩ, KΩ, 和 KΩ 的衰减电阻串联接到 10MΩ 的电阻上 量程 衰减 ( 串联 ) 衰减比率 分辨率 326.0(R1) mV 3.260(R2) 10M&1.1111MΩ 1/10 1 mv 32.60(R3) 10M&101.01KΩ 1/ mv 326.0(R4) 10M&10.01KΩ 1/ mv 3260(R15) 10M&1.0001KΩ 1/ V 计数器定时器 Rint Vout INT ms 327kΩ (Vin Tint)/(R Cint) DEINT ms 327 kω VOUT-(Vref Tdeint)/(R Cint) 3. 电流测量 输入端包含 μa, ma, 和 10A 的量程和两个自动量程, 可以根据输入电平选择 共 19 页第 8 页

9 输入端量程内部开关衰减比率分辨率 μa 326.0μA 3260μA ma ma ma 10A 10A S S 1 0.1μA S 1/10 1μA S 1 10μA S 1/10 1μA 计数器定时器 Rint Vout INT ms 327kΩ DEINT ms 327kΩ VOUT-(Vref Tdeint/2)/(R Cint) 4. 电阻连续性测量 在电阻测量模式, 每个衰减电阻并联到一个 10MΩ 的电阻上 10M s 100K 1.28V REF IN R MEAS IN Rk Rx 1K 900K INT DEINT DUAL SLOPE A/D 电阻 量程 Counter Time Rint 32.60MΩ 除外 INT DEINT ms 129.7ms 327 kω 1 MΩ MΩ INT ms 1 MΩ DEINT ms 327 kω 连续性 同 326.0Ω 量程 共 19 页第 9 页

10 5. 二极管测试 在二极管测试模式, 如果输入电压超过 2.01V(201 计数 ), 那么 LCD 上显示 O.L 并且测量不 能再进行了 共 19 页第 10 页

11 功能和量程表 1. 当 F5=0 时 No F2 F1 F4 F3 F9=1/ F9=0 R1, R2, R3, R4, R5, R32M, DP1 DP3 DP2 DP1 DP3 DP2 备注 DC/AC VOLT TPD DC/AC VOLT DC/AC VOLT OHM/Contiuity F8=0,F9:T OPTION only 326m VOLT DC VOLT DC VOLT Contiuity /Diode F9=1, F7:T LCD test Display CD/AC VOLT OHM F6=1 F6= OPTION 326m VOLT DC/AC ma DC/AC μa DC/AC VOLT * R1~R5: 量程 1~ 量程 5 * R32M: R32M 为电阻测量的 32MΩ 量程 * DP1~DP3: LCD 上指向 1,2,3 的点 * F7: 手动量程保持 ( 释放 RH, F7=0 during over 1sec) * F8: 数据保持 ( 通过切换 ) * F5: 当 F5=0 时, 模式可以通过带有 F9(F9=1 0 1) 的拨动开关来改变 * TPD: 自动关机时间 (10 分钟 ) * F1~F9: 初始状态采用内部上拉 ( 直流 326.0mV 量程 ). 所以每个功能管脚 (F1~F9) 都为 1 除非 给定某个输入 * T: 开关. 共 19 页第 11 页

12 2. 当 F5=1 时 R1, R2, R3, R4, R5, R32M, No F2 F1 F4 F3 F9=1/ F9=0 DP DP DP DP DP 备注 DP DC/AC VOLT TPD DC/AC VOLT DC/AC VOLT OHM CONTINUITY F9:T DIODE F9:T CONTINUITY DIODE F9:T 3. 自动关机功能 除非输入值在定义的时间内改变, 否则 10 分钟后自动关机 * 选择该功能如下 : Auto PWR1(Pin30) + Auto PWR2(PIN31) POWER 开机 自动关机 Off Off 4. 按照模式显示全值模式 R1 R2 R3 R4 R5 R32M 电压 DC 326.0mV 3.260V 32.60V 326.0V 3260V AC 3.260V 32.60V 326.0V 3260V 电流 ma 32.60mA 326.0mA (AC/CD) μa 326.0μA 3260μA 电阻 (Ω) K 32.60K 326.0K 3.260M 32.60M DP3 of 在电压, 电流模式,R4 的 DP3 不显示 共 19 页第 12 页

13 LCD 驱动波形 BACKPLANE 1 BACKPLANE 2 3V BACKPLANE 3 2V 1V D GND SEGMENT ON 3V D GND 256/6=42.67Hz 2V SEGMENT OFF 1V LCD 显示 共 19 页第 13 页

14 三重 LCD 显示格式 BP 号 管脚号 BP2 79 p31 Ω K d0 p30 dp1 d1 p29 dp2 d2 p28 dp3 BP3 80 p32 V M c0 g0 e0 c1 g1 e1 c2 g2 e2 c3 BP1 1 p33 R-H m b0 a0 f0 b1 a1 f1 b2 a2 f2 b3 管脚号 BP 号 BP2 79 d3 AC p27 p22 p21 p16 p15 p10 p9 p4 p3 p- BP3 80 e3 D-H p26 p23 p20 p17 p14 p11 p8 p5 p2 p0 BP1 1 a3,g3 DC p25 p24 p19 p18 p13 p12 p7 p6 p1 共 19 页第 14 页

15 典型应用电路图 共 19 页第 15 页

16 元件值号码 值 单位 号码 值 单位 R KΩ C μf R2 909 KΩ C μf R3 100 KΩ C3 10 μf R4 900 KΩ C4 10 μf R5 1.1 MΩ C5 10 pf R KΩ C μf R KΩ C μf R KΩ C9 10 μf C μf R9 10 MΩ C μf R KΩ C μf R KΩ C13 1 μf R MΩ C14 10 μf R KΩ VR1 20 KΩ R KΩ VR2 200 KΩ R KΩ VR3 500 Ω R KΩ R17 1 MΩ D1 1N4148 R KΩ D2 1N4148 R KΩ D3 1N4148 R20 10 KΩ D4 1N4148 R21 10 KΩ D5 1N4148 R KΩ D6 1N4148 D7 1N4148 R27 50 KΩ R KΩ Q1 C2500 R32 39 Ω Q2 C2500 R Ω Q3 C945 R Ω X-Tal Hz R KΩ R KΩ Z1 ZENAMIC 220 共 19 页第 16 页

17 测试电路 共 19 页第 17 页

18 封装外形图 QFP 单位 : 毫米 23.9± ± MAX 17.9± ± ± MIN 16.3± ± MOS 电路操作注意事项 : 静电在很多地方都会产生, 采取下面的预防措施, 可以有效防止 MOS 电路由于受静电放电影响而引起的损坏 : 操作人员要通过防静电腕带接地 设备外壳必须接地 装配过程中使用的工具必须接地 必须采用导体包装或抗静电材料包装或运输 共 19 页第 18 页

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

untitled

untitled ... F-28... -2... 465 EN1760-1 EN954-1(Category3) EN60204-1 1 IP67 3 341 - B M T -CD1 C P // -P1 A(mm) B(mm) 500 500 -M1010 750 500 -M1510 1,000 500 -M2010 750 750 -M1515 15mm 500 1,500 -M1030 1,000 750

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

泵站设计规范

泵站设计规范 (m 3 /s) 10 4 kw (1) 200 3 (2) 20050 31 5010 10.1 (1) 102 0.10.01 (2) 2 0.01 1 3 4 2 3 4 3 4 5 4 5 5 5 5 - 1 100 300 2 50 200 3 30 100 4 20 50 5 10 20 (m) 1 2 3 4.5 0.7 0.5 0.4 0.3 0.5 0.4 0.3 0.2 -

More information

1 50msec20MS/s 50msec20MS/s msec MS/s MS/s 1GW 2GW ( MW 128 1GW/2GW(=4GB( MS/s

1 50msec20MS/s 50msec20MS/s msec MS/s MS/s 1GW 2GW ( MW 128 1GW/2GW(=4GB( MS/s 2005 8860, 8861 HIOKI PC ; ; LAN USB 20MS/s 16bit HIOKI 8826/8835/8841/8842 http://www.hioki.cn 1 50msec20MS/s 50msec20MS/s 128 8861 8 8860 4 16 50msec 16 8861 8 8860 4 2 20MS/s 8860 8861 20MS/s 1GW 2GW

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

BUSNET

BUSNET Ver.3 13 45 67 7 89 BUSNET 111 12 12 13 14 14 1516 16 1718 PA-6812E 12m, 9m 2 PA-682E 2m : N.O.N.C : 2 : AC DC24V.25A 3.3Ω 1.528V DC 25mA 15ºC + 55ºC : : ø4 3 : ø3 6 12mm 47mm 11g PA-685E : N.O.N.C : 2

More information

V 2.1.0 2

V 2.1.0 2 1 V 2.1.0 2 目 录 目 录...2 如 何 与 我 们 联 系... 4 开 箱 检 查...5 注 意 事 项...6 保 修...7 第 一 章 概 述...8 一 概 述... 8 二 主 要 功 能... 8 三 技 术 指 标...10 四 环 境 要 求...12 第 二 章 面 板 说 明... 13 一 LCD 屏 说 明...13 二 按 键 说 明...15 第 三

More information

SIPART PS2 2 2 SIPART PS SIPART PS2 9 - SIPART PS2 PA 11 - SIPART PS2 FF SIPART PS2 PS2 PA PS2 FF 16 - SIPART PS2 EEx-d PS2 EEx-d

SIPART PS2 2 2 SIPART PS SIPART PS2 9 - SIPART PS2 PA 11 - SIPART PS2 FF SIPART PS2 PS2 PA PS2 FF 16 - SIPART PS2 EEx-d PS2 EEx-d SIPART PS2 智能电气阀门定位器 产品目录 2010 智能电气阀门定位器 Answers for industry. SIPART PS2 2 2 SIPART PS2 6 6-7 - SIPART PS2 9 - SIPART PS2 PA 11 - SIPART PS2 FF 13-15 15 - SIPART PS2 PS2 PA PS2 FF 16 - SIPART PS2 EEx-d

More information

TONE RINGER

TONE RINGER MP3 播放器芯片 描述 SC95870 为一款极具成本优势的带有 USBHost 功能的 Mp3 解码播放 SOC 芯片, 支持从多种存储介质播放 MP3, 包括 USB,SD/MMC 卡, 芯片内置立体声 DAC,LDO 和 PLL 可以有效地降低整机成本 SC95870 SDK 提供了丰富的 API 命令集, 使第三方的开发更加方便 可广泛应用于家庭媒体播放系统以及汽车电子等系统中 LQFP-64-10x10-0.5

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

何宇

何宇 MXT08 型电路 目录 概述 :... 特性 :... 电路结构图 :... 5 引脚坐标 :... 4 6 主要信号说明 :... 5 7 绝对最大额定值 :...6 8 电气特性 :... 6 9 LCD 参考图 :... 6 0 流程图 :... 7 功能描述 :...8 应用电路图 :... 9 概述 : MXT08 为一款高效能 CMOS 数字临床测量人体体温 IC, 测量范围从.0 C~4.9

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

ARC ARC ARC ARC ARC ARC ARC A

ARC ARC ARC ARC ARC ARC ARC A 1 1... 3 1.1... 3 1.2... 4 2 ARC160... 5 2.1... 5 2.2 ARC160... 6 2.3 ARC160... 7 2.4 ARC160... 8 3 ARC250... 9 3.1 ARC250... 9 3.2 ARC250... 10 3.3 ARC250... 11 3.4 ARC250... 12 4 / TIG180P... 13 4.1...

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

F&B 100%

F&B 100% F&B 100% V3.1 XMRY5000/8000... 1...1...3...4.....23...25 XMRY5000/8000 27 XMRY5000/8000 2.1 2.1.1 2.1.2 / 2.1.3 Pt100 Pt100.0 Pt10 Cu100 Cu50 K E S B J R T N 010mA 420mA 05V 15V 30350 060mV 060mV 05V 2.1.4

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

t70.0101gb.fm

t70.0101gb.fm 数 据 单 70.3590 页 码 / JIMAGO 500 / JIMAGO 500 703590/... 5 7 3 0 40 V AC 0 30 V AC/DC COM SETUP/RS4/485 8 50 6 000 4 6 COM RS4/485 ER8 PROFIBUSDP ProfibusDP 5 6 7 ( ) ( ) ( ) 0/5V Modem 0/V ( ) SETUP Windows95/98/NT4.0/000/ME/XP/Vista

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

目 录

目      录 61 61 61 61 2004-2-23 Sunplus University Program http://www.unsp.com.cn E-mail:unsp@sunplus.com.cn 1 61 1... 5 1.1... 5 1.2 61... 5 2... 8 2.1... 8 2.2... 8 2.2.1... 8 2.2.2... 10 2.3... 10 2.3.1... 10

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

PID

PID F&B 100% PID 1 3 5 7 9 11 13 14 26 28 1.1. XMGA5000/XMGA6000 PID 4 2 1 3 PID 1.2. 1.2.1. 4 (AI) IN1(PV1) IN2(PV2) (XMGA6000) IN3(PV3) 010mA/420mA/05V/15V (OUT2) DI1 / ( ) PV1 (OUT2) DI1 ( )/ IN4 (RP) 010mA/420mA/05V/15V

More information

ePapyrus PDF Document

ePapyrus PDF Document () ()., (),, () BGS(Background Suppression).. &... ( )... 286 (. ( ).) 2 + NPN -ST11 2M -ST12 2M -ST21 2M -ST22 2M -FT11 2M -FT12 2M -FT21 2M -FT22 2M PNP -ST13 2M -ST14 2M -ST23 2M -ST24 2M -FT13 2M -FT14

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

Microsoft Word - SA7527说明书_1.2-L.doc

Microsoft Word - SA7527说明书_1.2-L.doc 功率因子校正 ( 临界导通模式 ) 控制器 描述 SA7527 是一个简单但是高效的功率因子校正电路 这个电路内置 R/C 滤波器, 并自带电流感应电路, 因此不需要外部 R/C 滤波器 此外还有特殊的防击穿电路 此电路适用于电子镇流器和所需体积小, 功耗低, 外围器件少的高密度电源 输出驱动器钳位电路还可以限制功率 MOSFET 管的驱动阈值 此电路很大的提高了系统的可靠性 DIP-8-300-2.54

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

untitled

untitled 力 2 說 2 DS2DB 列 說 Dimamotor 行 不 若 料 聯 力 2 說 1. ---------------------------------------------------------------------------2 2. -------------------------------------------------------------------- 2 3.

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

Cover

Cover 1ms MINI-ARRAY MINI-ARRAY Beam Array 38 W - 0 T W T I 0 I A-GAGE I 0 MINI-ARRAY 3mm 3/8" MINI-ARRAY 8mm 3/4" MINI-ARRAY 10mm BEAM-ARRAY 5mm Windows 39 MINI-ARRAY MINI-ARRAY MINI-ARRAY 38mm (1.5 ) () (ASCII

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

untitled

untitled 1030 1298 1375 1378 EN/IEC1384 DeviceNet PA203 POWER / SYSMAC CS/CJ DeviceNet -PDRT2 3G3IV-PFAN2 SYSMAC CQM1H SYSMAC CPM2A/CPM2C SYSMAC CPM2A SYSMAC CPM2C I/O K3MA-J FREF FOUT IOUT MNTR F/R LO/RE PRGM

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

TC9000-DA.cdr

TC9000-DA.cdr 50% 48% 30 % ( ) VDE0160 CPU!! 1 TC 9000 TENSION CONTROLLER 1.1 1.2 1.3 1.4 2.1 2.2 2.3 3.1 3.2 3.3 4.1 4.2 4.3 4.4 4.5 4.6 4.7 5.1 5.2 5.3 6.1 6.2 6.3 2 2 2 4 5 7 9 10 11 12 25 27 12 25 27 15 26 28 16

More information

Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm A + CAT III 1000 V/CAT IV 600 V 0.01 A /

Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm A + CAT III 1000 V/CAT IV 600 V 0.01 A / Keysight U1210 Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm 2 1000A + CAT III 1000 V/CAT IV 600 V 0.01 A / U1210 52mm/2 1000A + Keysight U1210 Keysight U1210 U1210 U1177A Android 10 3 2 / 1000A +

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

BL34018-C

BL34018-C 1. 概述 合并了必需的放大器 衰减器和几种控制功能而设计成的一种高品质免提通话电路 它包括一个话筒放大器 一个用于扬声器的音频功率放大器 发送和接收衰减器 背景噪声电平检测系统和一个衰减器控制系统, 以对发送和接收电平好于背景噪声作出反应 电路上还包括内部和外部电路所需要的全部调整电流, 允许利用电话线上的电源工作 ( 不需要额外的附加电源 ) 电路上有片选输入以控制电路不工作时断电 外接一个电位器即可完成音量控制功能

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

DL1621-1

DL1621-1 General Description: DL1621-1, 含 LCD 的控制线路, 搭配 MCU 来使用, 将使使用者的成本降低, 以及应用更加. 宽广 Features: 工作电压 2.4V-5.5V 系统频率 : - 内建 RC 振荡器 (256Khz) - 外挂 32768Hz 晶振 - 外灌 256Khz 输入 ( 由 OSCI 脚 ) 提供简单 3 pins 串接接口 (CKRB/ CKWB/

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

AI-6527C doc

AI-6527C doc AI-657C? Infilex GCInfilex GD I/O RY50 Infilex GC Infilex GD Infilex GCInfilex GD 6 ) Infilex GCInfilex GDI/O ) I/O 3) 4) 5) savic-net FX savic-net EV model0/30/50/00/300 Infilex ZM Infilex AC Infilex

More information