Microsoft Word - BROS9002MF.doc

Size: px
Start display at page:

Download "Microsoft Word - BROS9002MF.doc"

Transcription

1 描述 / Descriptions 是一款 SOT23-6 封装的单通道电容式触摸感应控制开关 IC, 可以替代传统的机械式开关 采用 CMOS 工艺制造, 结构简单, 性能稳定 可通过预设引脚配置成多种工作模式, 广泛应用于灯光控制 玩具 家用电器等产品中 特征 / Features 工作电压 :2.0V~5.5V; 工作电流 : 低功耗模式 1.5uA, 最大值 10uA(@VDD=3V 无负载 ); 最长响应时间 : 典型值 40ms, 低功耗模式 160ms; 感应灵敏度 : 可通过外部电容 (1~50pF) 调整 ; 自动校准功能 : 芯片每隔约 5s 自动检测外部环境的变化 ; 可通过预设引脚配置多种工作模式 ; 高可靠性, 芯片内置去抖动电路, 可有效防止由外部噪声干扰导致的误动作 ; 可用于玻璃 陶瓷 塑料等介质表面 ; 无卤产品 用途 / Applications 灯光控制 消费类电子产品 数码产品 家用电器 智能开关 引脚排列 / Pinning 引脚编号 PinNumber 引脚名称 PinName 引脚说明 PinDescription 1 OUT CMOS 输出 2 GND 芯片地 3 TCH 触摸按键输入 4 OLH 输出高 / 低电平有效模式选择 1: 输出低电平有效 0: 输出高电平有效 (Default) 5 VDD 正电源 6 HLD 保持 / 同步模式选择 1: 保持模式 0: 同步模式 (Default) 1 / 7

2 引脚排列 / Pinning 引脚编号 PinNumber 引脚名称 PinName 引脚说明 PinDescription 内部隐藏设置引脚, 可选择设定 RST ( 设置为高 ) SLS ( 设置为 1) MOT ( 设置为 0) FST ( 设置为 0) RST 为高时, 芯片复位采样时间选择 1: 采样时间为 1.5ms(Default) 0: 采样时间为 3ms 最大开启时间复位选择 ( 只在同步模式下有效 ) 1: 关闭最大开启时间功能 (Default) 0: 最大开启时间为 16s 快速 / 低功耗模式选择 1: 快速响应模式 (Default) 0: 低功耗模式 ( 触摸检测响应时间变长 ) 印章代码 / Marking 见印章说明 See Marking Instructions. 极限参数 / Absolute Maximum Ratings(Ta=25 ) 参数 /Parameter 符号 /Symbol 数值 /Value 单位 /Unit 电源电压 V DD -0.3~5.5 V 输入 / 输出电压 V IN /V OUT -0.5~VDD+0.5 V 工作温度 T opr -20~85 存储温度 T stg -50~125 芯片抗静电强度 (HBM) ESD 6 kv 电性能参数 / Electrical Characteristics( 除非特别指定,Ta=25 ) 参数 /Parameter 符号 /Symbol 测试条件 /Test Condition 最小值 /Min 典型值 /Typ 最大值 /Max 工作电压 V DD T OPR =-20~ V 工作电流 I DD FST=0 FST=1 SLS= SLS= SLS= SLS= 单位 /Unit ua 输出响应时间 T R 无特殊说明,VDD=3.0V, 环境温度为 25, 输出 OUT 端无负载 FST= FST= ms 2 / 7

3 功能框图 / Functionl Block Diagram TCH 触摸感应震荡电路 基准检测电路 触摸检测电路 输出驱动电路 OUT 功能选项控制电路 系统震荡电路 功能描述 / Functional Description 输出高 / 低有效模式选择 (OLH) OLH 设置为 1 时, 检测到触摸按键输入时,OUT 输出低电平 OLH 设置为 0 时, 检测到触摸按键输入时,OUT 输出高电平 采样时间选择 (SLS) SLS 设置为 1 时, 采样时间为 1.5ms SLS 设置为 0 时, 采样时间为 3.0ms 此时芯片触摸感应灵敏度高于 SLS 设置为 1 时的灵敏度 最大开启时间复位选择 (MOT) 此模式只在同步模式下有效 MOT 设置为 1 时, 关闭最大开启时间复位功能 MOT 设置为 0 时, 在同步模式下感应到触摸后, 如果持续检测到触摸存在时间达到约 16s(@VDD=3V), 则芯片自动复位, 将输出 OUT 置为未检测到触摸时的状态, 并校准触摸感应的基准值 快速 / 低功耗模式选择 (FST) FST 设置为 1 时, 芯片工作在快速模式, 触摸响应时间约 40ms FST 设置为 0 时, 芯片工作在低功耗模式, 触摸响应时间约为 160ms, 芯片工作电流约为 1.5uA (@VDD=3V 无负载 ) 保持 / 同步模式选择 (HLD) HLD 设置为 1 时, 芯片工作在保持模式 当检测到触摸时, 输出 OUT 端电平翻转 ; 触摸消失后, 输出 OUT 端仍然保持翻转后的状态 再次检测到触摸时, 输出 OUT 端电平翻转为初始状态并保持 HLD 设置为 0 时, 芯片工作在同步模式 当检测到触摸时, 输出 OUT 端电平翻转 ; 触摸消失时, 输出 OUT 端电平恢复为初始状态 灵敏度调节在 TCH 管脚接对地的灵敏度调节电容 Cj(0~50pF), 电容值越大, 芯片的触摸感应灵敏度越低 连接到 TCH 管脚的触摸按键的面积和形状也会影响芯片的触摸感应灵敏度 如果需要提高触摸感应灵敏度, 可以适当的增大触摸按键的面积 ( 当触摸按键的面积大到一定程度后, 面积继续增大时对灵敏度影响较小 ) 另外, 触摸按键到 TCH 管脚之间的导线 PCB 板的布局布线所产生的寄生电阻 寄生电容均会对芯片的触摸感应灵敏度造成影响 3 / 7

4 典型应用 / Typical Application LED 台灯 墙体开关 说明 : 1. Cj 指调节灵敏度的电容, 电容值大小 1pF~50pF( 电容值的增大将导致灵敏度降低 ) 2. Rs 指在触摸电极和触摸输入脚之间串联的电阻, 用于提高触摸的抗干扰能力, 可根据具体应用进行选择 3. VDD 与 GND 间需并联滤波电容以消除噪声 4. 供电电源需稳定, 如在应用中存在 100mv 以上的电源突变 ( 注意 : 不是电池缓慢放电 ), 需要使用电源稳压电路, 否则会引起灵敏度漂移或触摸芯片检测错误, 发生误动作或死机 如突然加载大电流负载 锂电池和外置充电器交叉使用, 高频次的开启 / 关闭 LED 显示时都需注意电源的稳定性 5. 应该在触摸电极上铺好覆盖介质后再上电, 如在芯片已经初始化后再放上覆盖介质, 会引起系统误判认为触摸一直有效! 4 / 7

5 外形尺寸图 / Package Dimensions 5 / 7

6 印章说明 / Marking Instructions 9002 **** 说明 : 9002: 为产品型号 ****: 为生产批号代码, 随生产批号变化 Note: 9002: Product Type. ****: Lot No. Code, code change with Lot No. 6 / 7

7 回流焊温度曲线图 ( 无铅 ) / Temperature Profile for IR Reflow Soldering(Pb-Free) 说明 : Note: 1 预热温度 150~180, 时间 60~90sec; 1.Preheating:150~180, Time:60~90sec. 2 峰值温度 245±5, 时间持续为 5±0.5sec; 2.Peak Temp.:245±5, Duration:5±0.5sec. 3 焊接制程冷却速度为 2~10 /sec. 3. Cooling Speed: 2~10 /sec. 耐焊接热试验条件 / Resistance to Soldering Heat Test Conditions 温度 :260±5 时间 :10±1 sec. Temp.:260±5 Time:10±1 sec 包装规格 / Packaging SPEC. 卷盘包装 / REEL Units 包装数量 Dimension 包装尺寸 (unit:mm PackageType 3 ) Units/ReelReels/InnerBoxUnits/InnerBoxnnerBoxes/OuterBoUnits/OuterBox 封装形式 Reel InnerBox 盒 OuterBox 箱只 / 卷盘 卷盘 / 盒 只 / 盒 盒 / 箱 只 / 箱 SOT23-5/6 3, , , 使用说明 / Notices 7 / 7

Microsoft Word - DW01.doc

Microsoft Word - DW01.doc 描述 / Descriptions SOT23-6 塑封封装锂电池保护 IC Battery Protection IC in a SOT23-6 Plastic Package. 特征 / Features (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 4.3V Overcharge detection voltage 过充恢复电压

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

SMD POWER INDUCTORS BS CDSU SERIES Test Freq. : BS0302CDSU BS0403CDSU BS0502CDSU BS0503CDSU BS0504CDSU BS0703CDSU BS0705CDSU BS1004CDSU BS1005CDSU 1.0

SMD POWER INDUCTORS BS CDSU SERIES Test Freq. : BS0302CDSU BS0403CDSU BS0502CDSU BS0503CDSU BS0504CDSU BS0703CDSU BS0705CDSU BS1004CDSU BS1005CDSU 1.0 SPECIFICATION OF BS CDSU SERIES FEATURES 1. To be high saturation for surface mounting type inductors. 2. Low cost feature. APPLICATIONS VTR, QA equipment, LCD television set, nootbook PC, portable communication

More information

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc ofired eramics Series UUNG-G HNOOGY OF RF SOUION Feature 特点 低温共烧陶瓷 ompact Size 体积小 Miniaturized SM packaged in low profile and lightweight. ow oss 低 ow insertion loss, high attenuation. High Soldering

More information

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 1812 3. 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 : UL / cul / TUV 用途 1. USB, HDMI, IEEE 1394 接口 2. 个人电脑 主板

More information

Microsoft Word - CT8224

Microsoft Word - CT8224 CHINAIC SEMICONDUCTOR CORP., LTD. 4 键触摸检测 IC 版本更新时间 更新说明 描述 V1.3 2015-6-15 修改应用电路重要说明 ( 选项脚悬空问题 ) 4 键触摸检测 IC V1.4 2015-11-19 SOP8-3KEY 电路有更新 V1.5 2018-5-10 SOP8-2KEY 电路有更新 www.csc-ic.com 1 2018-5-10 V1.5

More information

性能 Performance(E J L) 试验项目 Test Items 压敏电阻器电压 Varistor Voltage 耐焊接热 Resistance to soldering heat 焊接性 Solderability 温度突变 Rapid change of temperature 耐浪

性能 Performance(E J L) 试验项目 Test Items 压敏电阻器电压 Varistor Voltage 耐焊接热 Resistance to soldering heat 焊接性 Solderability 温度突变 Rapid change of temperature 耐浪 VARISTORS NV7 Multilayer Type Metal Oxide Varistors 结构图 Construction EU RoHS t W C L C Coating color Black 特点 Features RoHS RoHS Varistors own two-way symmetries and can absorb positive and negative surges.

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

LF31B5800P67-N08

LF31B5800P67-N08 FEATUES Multilayer monolithic construction yields high reliability Excellent solderability and heat resistance for either flow or reflow soldering Substantial EMI suppression over a wide frequency range

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

Resistors - All Resistors - Chip Resistors

Resistors - All Resistors - Chip Resistors THICK FILM CHIP RESISTORS 特性 (Features) 輕薄短小 : 小型輕量, 大幅縮小 PC 板面積及重量 Small size and ligtweight with size range per int'l standard 裝配性佳 : 外觀均勻精確, 易於裝配 Highly stable in auto-placement surface mounting application

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

FI 325P Type FI 212C245XX FI 212C24551 FI 212C FI 212C35568 FI 212P2453 FI 212P2453/ FI212P8928/ FI212P85912 FI 212P89213/ FI 212P8599 FI 212P39

FI 325P Type FI 212C245XX FI 212C24551 FI 212C FI 212C35568 FI 212P2453 FI 212P2453/ FI212P8928/ FI212P85912 FI 212P89213/ FI 212P8599 FI 212P39 MULTILAYER CERAMIC DEVICES (FILTERS / DIPLEXERS / BALUNS) REFLOW FEATURES Compact and Low-profile Low loss and high attenuation Stable temperature characteristics APPLICATIONS Bluetooth module, Wireless

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

Catalogue of Polymer PTC Resettable Fuse

Catalogue of Polymer PTC Resettable Fuse 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 IA 尺寸 :0603, 0805, 1206, 1812 3. 维持电流 :0.05~3A 4. 最大工作电压 :6V ( 计算机系统使用 ) 60V ( 电子设备使用 ) 5. 占用空间小 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 :UL/cUL/TUV 用途 1. USB HDMI I1394

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

霍尔开关集成电路

霍尔开关集成电路 概述 是一款内置霍尔感应及输出单线圈驱动于一体的集成电路, 具有锁机保护和自启动功能, 广泛应用于各类大 小型单相直流马达 内置斩波放大器可以动态调整输入失调电压, 大大提高了磁场灵敏度 高灵敏度的霍尔感应块可以使其用于微型 CPU 冷却风扇以及各类鼓风机和直流风扇 它的工作电压范围宽, 持续工作电流达 300mA 特点 内置霍尔感应块及输出单线圈驱动 工作电压范围宽 :3.2V~18V 输出能力强

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

● 4个电容式触摸感应按键

●  4个电容式触摸感应按键 8 个触摸感应按键 工作电压 :2.5V~5.5V 待机电流 :2.0uA (VDD=3V 无负载 ) 提供一对一直接对应输出 内嵌 LDO 稳压, 抗电源波动干扰能力强 环境温度湿度变化自动适应功能 两种输出类型 :CMOS 输出或 OD 输出 按键最大有效输出时间选择 : 通过 Option 选择 60 秒或无穷大 多重按键消除功能 : 通过 Option 选择单键模式或多键模式 上电 0.5

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - MD77XX产品说明书.doc

Microsoft Word - MD77XX产品说明书.doc 概述 : 系列产品是一种高效率 低纹波的 PFM 控制型 DC-DC 升压稳压芯片 该系列产品具有极低的启动电压和高输出电压精度 应用时仅需电感 电容 肖特基二极管三个外部元器件, 就可完成将低输入的电池电压升压至所需的工作电压 芯片内部包括输出电压反馈和补偿网络 启动电路 振荡电路 PFM 控制电路 参考电压电路以及输出功率管 此芯片采用 PFM 控制方式, 在大范围内可获得较低的输出纹波和高效率

More information

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation NO. 1 2 3 4 5 6 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation charge current 最大连续充电电流 units 单位 V s 参数 5V V 2.4V ma 1100mA Max continuous discharge current 最大连续放电电流 ma 1100mA

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Microsoft Word - BRCD3408.doc

Microsoft Word - BRCD3408.doc 描述 / Descriptions 是一款恒定频率 电流脉冲宽度调制模式的降压转换器 该器件集成了一个主控开关和同步整流器替换肖特基二极管, 使芯片具有更高效能 它是使用单节锂电池供电的便携式设备的理想选择, 输出电压最低可调节至 0.6V 在低压状态下以 100% 占空比模式运行, 延长便携式系统电池寿命 该器件提供 PWM( 脉冲宽度调制 ) 和 PFM( 脉冲频率调制 ) 两种可切换的操作模式,

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

97 MANUFACTURING CAPABILITY APPLICATIONS Gapped Toroid Sizes(OD) 2.50mm mm Height 0.70mm mm Gap Sizes : 0.03mm mm AL Tolerance AL ±

97 MANUFACTURING CAPABILITY APPLICATIONS Gapped Toroid Sizes(OD) 2.50mm mm Height 0.70mm mm Gap Sizes : 0.03mm mm AL Tolerance AL ± 96 Type : T Cores Ordering Code: Shape: A10 T2.5*1.5*1.3 HP G Material Core Size Coating Gapped AL Value C : Epoxy Coating of Halogen-Free HP : Parylene Coating of Halogen-Free UC : Epoxy Coating of UL

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

GNR-V7R1

GNR-V7R1 Latest Edit Date: 2016.05.16 Pages: 2 / 20 * Features & Application : * Product Identify : 1. Power supply PWM circuit input / output inductor 2. Power line noise suppression 3. DC-DC Converter 4. To help

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

0603 SMD Series 承认书 Specification 客户名称 : Customer Name: ( 请填写贵司全名 ) 规格书编号 : Spicification NO: 客户品名 : Product P/N: ( 请填写贵司物料品名 ) : Manufacturer's P/N:

0603 SMD Series 承认书 Specification 客户名称 : Customer Name: ( 请填写贵司全名 ) 规格书编号 : Spicification NO: 客户品名 : Product P/N: ( 请填写贵司物料品名 ) : Manufacturer's P/N: 承认书 Specification 客户名称 : Customer Name: ( 请填写贵司全名 ) 规格书编号 : Spicification NO: 客户品名 : Product P/N: ( 请填写贵司物料品名 ) : Manufacturer's P/N: ( ) 客户承认栏 ( 请签名并写明日期后回传 ) Customer's Approval Confirmed Checked Prepared

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

. Electrical Characteristics(PIHD0603H / 0604H TYPE) PIHD0603H-R10M KHz / 0.25V 3.0 PIHD0603H-R15M KHz / 0.25V 3

. Electrical Characteristics(PIHD0603H / 0604H TYPE) PIHD0603H-R10M KHz / 0.25V 3.0 PIHD0603H-R15M KHz / 0.25V 3 .Applications: Excellent for power line DC-DC conversion applications used in power switching, personal computers and other handheld electronic equipment..product Identification: PIHD Tolerance Inductance.Shape

More information

Microsoft Word - CS REV.D doc

Microsoft Word - CS REV.D doc Data Sheet Customer: Product: CS Series Size: 0201/0402/0603/0805/1206/1210/2010/2512 1225/3720/7520/0612 Issued Date: Edition: 15-Jul-16 REV.D3 VIKING ECH CORPORION 光頡科技股份有限公司 No.70 Guangfu N. Rd., Hukou

More information

Microsoft Word - CT8228

Microsoft Word - CT8228 CHINAIC SEMICONDUCTOR CORP., LTD. 8 键触摸检测 IC 版本 更新时间 更新说明 描述 V1.4 2015-6-16 修改 VREG 最大值 8 键触摸检测 IC V1.5 2015-11-19 修改了关于选项脚电平的一个小 BUG 8 键触摸检测 IC www.csc-ic.com 1 2015-11-19 V1.5 一. 概述 触摸检测 IC 是一款使用电容感应式原理设计的触摸芯片

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

<4D F736F F D20CCF9C6ACB8DFB7D6D7D3D7D4BBD6B8B4B1A3CFD5CBBF2E646F63>

<4D F736F F D20CCF9C6ACB8DFB7D6D7D3D7D4BBD6B8B4B1A3CFD5CBBF2E646F63> 自恢复保险丝是由经过特殊处理的聚合树脂 (Polymer) 及分布在里面的导电粒子 (Carbon Black) 组成 在正常操作下聚合树脂紧密地将导电粒子束缚在结晶状的结构外, 构成链状导电电通路, 此时的自恢复保险丝为低阻状态 (a), 线路上流经自恢复保险丝的电流所产生的热能小, 不会改变晶体结构 当线路发生短路或过载时, 流经自恢复保险丝的大电流产生的热量使聚合树脂融化, 体积迅速增长, 形成高阻状态

More information

Microsoft Word - 附件11_2_.doc

Microsoft Word - 附件11_2_.doc 附件 11 版本号 :FH-2013-001 柔性端头多层片式陶瓷电容器 MLCC WITH FLEX ITERM 一 特性 具有高强度的抗弯曲性能, 下弯可达到 3mm 可增加温度周期变化次数, 最多 3000 次 采用柔性端头体系 可减少线路板因弯曲导致的失效故障 应用范围 应用于高弯曲的线路板 应用于温度变化的线路 应用于汽车推进系统 一 FEATURE High mechanical performance

More information

Microsoft Word B

Microsoft Word B UNISONIC TECHNOLOGIES CO., LTD PC POWER SUPPLY SUPERVISOR SOP16 DESCRIPTION The UTC 3513 is designed to provide protection circuits, power good output (PGO) indicator, fault protection output () and a

More information

330MUV9C

330MUV9C Features/ 特征 : Single color/ 单色 High bright output/ 高亮度输出 3.9±.1 3.±.1 Low power consumption/ 低功耗 High reliability and long life/ 可靠性高 寿命长 5.3±.1 2.9±.1 Descriptions/ 描述 : Dice material/ 芯片材质 :InGaN Emitting

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

负温度系数热敏电阻器 :TTC03 系列 温度传感 / 补偿用 Ф 3mm 芯片型 特点 1. 满足 RoHS 要求 2. 可提供无卤要求的系列产品 3. 本体尺寸 :Ф3mm 4. 径向引线树脂封装 5. 工作温度范围 :-40 ~ 宽阻值范围 7. 低成本 用途 8. 安规认证 :

负温度系数热敏电阻器 :TTC03 系列 温度传感 / 补偿用 Ф 3mm 芯片型 特点 1. 满足 RoHS 要求 2. 可提供无卤要求的系列产品 3. 本体尺寸 :Ф3mm 4. 径向引线树脂封装 5. 工作温度范围 :-40 ~ 宽阻值范围 7. 低成本 用途 8. 安规认证 : 特点. 满足 RoHS 要求 2. 可提供无卤要求的系列产品 3. 本体尺寸 :Ф3mm 4. 径向引线树脂封装 5. 工作温度范围 :-40 ~+25 6. 宽阻值范围 7. 低成本 用途 8. 安规认证 :UL / cul / TUV / CQC. 家用电器 2. 计算机 3. 数字仪表 4. 开关式电源供应器 5. 适配器 编码规则 2 3 4 5 6 7 8 9 2 3 4 5 6 TTC

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

Rotary Switch Catalogue

Rotary Switch Catalogue Rotary Switches RS300/400/500 Series Outline Our RS series embody the manufacturing history of our company. All series are sturdy and solid with high dependability designed for control units of plants,

More information

页边距:上3

页边距:上3 封装 Package 主要参数 MAIN CHARACTERISTICS I C 1.5A V CEO 400V P C (TO-92/TO-92-F1/SOT-89) 1W P C ( DPAK/IPAK) 10W P C (TO-126(S)) 20W TO-92 TO-126S IPAK 用途 节能灯 电子镇流器 高频开关电源 高频功率变换 一般功率放大电路 APPLICATIONS Energy-saving

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

Microsoft Word - CS REV.D doc

Microsoft Word - CS REV.D doc Data Sheet Customer: Product: CS Series Size: 0201/0402/0603/0805/1206/1210/2010/2512 1225/3720/7520/0612 Issued Date: Edition: 30-pr-15 REV.D2 VIKING ECH CORPORION 光頡科技股份有限公司 No.70, Kuanfu N. Rad., Hsin

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

诚芯微科技

诚芯微科技 概述 特点 是一款输入耐压可达 36V, 并且能够实现精确恒压以及恒流的降压型 DC-DC 转换器 内置 50mΩ High-side PMOS 以及 30mΩ Low-side NMOS, 可支持 3A 持续输出电流输出电压可调, 最大可支持 100% 占空比 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压和恒流控制环路实现极小的负载调整率和线性调整率 无需外部补偿, 可以依靠自身内置稳定环路实现恒流以及恒压控制,

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

Material

Material 东磁 MnZn 铁氧体材料主要应用 Application Area Frequency Range Material Main Features μi Bs Bs 1 Pcv Pcv 1 Tc( ) 28

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information