网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 一 IIS 接口 : 原理图 硬件 : ) 声音是如何录制 : ) 声音播放 : ) IIS:

Size: px
Start display at page:

Download "网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 一 IIS 接口 : 原理图 硬件 : ) 声音是如何录制 : ) 声音播放 : ) IIS:"

Transcription

1 网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 一 IIS 接口 : 原理图 硬件 : ) 声音是如何录制 : ) 声音播放 : ) IIS: 如何发数据 WAV 声音文件 :... 5 一 控制信号 : 控制接口规范各不相同 : 读写寄存器 : ) L3 接口用到三条条... 6 二 分析内核 UDA1341 驱动 从原理图上看 L3 引脚的连接 : ) --> GPE0~4 配置成用于 IIS: 地址模式 : ) --> 设置两个 DMA 通道 : ) 分析 :audio_dev_dsp = register_sound_dsp(&smdk2410_audio_fops, -1); ) 分析 sound_core.c: ) 分析 :audio_dev_mixer = register_sound_mixer(&smdk2410_mixer_fops, -1); 测试 : ) 在 make menuconfig 中搜索 : ) 1. 确定内核里已经配置了 sound\soc\s3c24xx\s3c2410-uda1341.c ) 2. make uimage ) 3. ls -l /dev/dsp /dev/mixer ) 4. 播放 : ) 5. 录音 : 分析声卡中的 DMA: 三 WM8976 硬件设置 : 传输控制信息 :... 19

2 2. 一, 控制接口 : ) 两种模式 : ) 写 WM8976 驱动 : ) 设计代码 : 一, 写寄存器函数 : 按 WM8976 芯片手册的时序写 ) 数据为 16 位, 前 7 位为地址, 后 9 位为数据 写哪些寄存器 : 这个需要从头慢慢看芯片手册 WM ) p80: , 先复位 : , 往寄存器 3 写入一个值 : ) 三, 其他设置 : 四, 编译测试 : ) 怎么写 WM8976 驱动程序? ) 下面是读音量 : 四 播放 MP3: 使用 madplay 测试声卡 : 先编译库文件, 编译 libid3tag b 编译 libmad b 编译 madplay 把 tmp/bin/* tmp/lib/*so* 复制到根文件系统 : 把一个 mp3 文件复制到根文件系统 madplay --tty-control /1.mp 一 IIS 接口 : 1. 原理图

3 2. 硬件 : IIS 接口只传输声音数据 GPIO 管脚发时序控制 控制接口 ( 如设置音量 ) 1) 声音是如何录制 : 1 采样频率 : 一秒钟采集声音多少次 以固定的时间来采集, 采集就是把声音转换成电压信号并记录 所以采集的时间点越密集, 则之后恢复出来的声音会越逼真 对于人耳采集频率不需要太大 采样率从 8KHz - 96KHz, 到 96KHz 声音已经很饱满了, 人耳已经分辨不出来了 若是 4KHz(1 秒采集 4000 次 ) 的采样率人耳听起来就很差了 2 采集 : 是指把声音这种模拟信号转成数字信号 (ADC) 存下来 有左声道和右声道, 一次采集可能只是采集其一声道, 也可能两个声道一起采集 3 ADC 精度 : 精度用 位宽 来表示 采集是 模数转换,ADC 有 16 位,24 位等, 位数越高声音会越精细 2) 声音播放 : 把采集到的声音数据按照采集的速度播放出来

4 3) IIS: 如何发数据 硬件接口, 用来传输声音数据 1 LRCK: 表示当前传的声音的声道 LRCK 是低电平时表示传的是 左声道 数据,LRCK 是高电平时传的是 右声道 数据 一个声道里 2440 最多传 16 个时钟 (16 个 SCLK) 原理图上 I2SLRCK 确定下面 I2SSDI I2SSDO 传输的是左声道还是右声道的数据 2 SCLK: 位时钟 (BCK) 每个数据都有很多位 每个时钟传输一个数据 3 SDCLK: 芯片的系统时钟 是 2440 提供给解码芯片使用 UDA1341TS 解码芯片也要工作在一定的频率下 这个是提 供系统时钟 2440 控制输入两种格式数据 : 可以控制 2440 输出两种格式的数据 IIS MSB 2440 只能外接最高 16 位的 编解码芯片 IIS 格式数据是经过一个 SCLK 位时钟后, 才输入第一个数据 "MSB"(SD 上空了一个 SCLK 时钟 ) 最后也是以 MSB 结束

5 MSB 格式是在第一个 SCLK 时钟就立刻输出了第一个数据 上面是声音文件在内存中的存放, 传输出来时,LRCLK 低电平期间表示左声道 一个声道 2440 传 16 个 I2SSCLK 时钟 通过 I2SSDO 每一位每一位传输, 要是数据只是 8 位的, 则 I2SSDO 发送完某声道 ( 如左声道 ) 的前 8 位后, 因为是 16 个时钟, 则后面 8 位填 0. 但采样频率 LRCK 是可以设置的, 即若声音数据是 8 位, 那么就可以设置采样频率为 8 位 采样频率是指 1 秒钟采集多少次, 可以设置 2440 中的 IIS 控制器输入适合的采样频率 3. WAV 声音文件 : 1, 头部 : a, 采集频率 b, 粗度 ( 位宽 ) c, 左右声道 2, 数据

6 一 控制信号 : 1. 控制接口规范各不相同 : UDA1341: 用的是 L3 接口 WM8976: 用 I2C 接口或 3 线接口 2. 读写寄存器 : 控制信息的实质 原理图上 UDA1341 用到的 控制接口 :L3 接口 1) L3 接口用到三条条 1 L3MODE(L3 模式 ): 查看 "UDA1341TS" 芯片手册, 有 Address mode 和 Data transfer mode 置 0 时, 地址模式置 1 时, 数据模式 2 L3CLOCK: 每个时钟传输一位 3 L3DATA: 当 L3MODE 是 Address mode 时,L3DTA 线上是 地址 当 L3MODE 是 Data transfer mode 时,L3DTA 线上是 数据

7 数据有 8 位, 上面说 : bit7~2 表示一个 6bit 的地址 设置地址是 bit1~0 表示传输类型 4 传输类型 : 00:DATA0 控制音量 或访问扩展的地址 自动放大控制 (AGC), MIC 的灵敏度控制等 01:DATA1 读回一些信息 ( 即 L3 接口可以发出一些数据也可以读回一些数据 ) 10:STATUS 状态信息, 复位 时钟 数据输入格式 ( 数据位宽 ) 等 I2S 接口上传输的是多少位的数据 2440 的 I2S 是左右声道都发出 16 个时钟, 可以设置这 16 位中有多少位有效的数据 IIS 控制器发出 16 位数据, 还要告诉 编解码芯片 这 16 位中有多少个数据 就用 STATUS 状态信息 11:not used

8 5 当为 STATUS 时 : 当为 DATA0 时, 表示是数据 0 传输 : 以上从原理图和芯片手册上大概明白了 L3 接口的意思 二 分析内核 UDA1341 驱动 看内核中自带的 UDA1341 的驱动 : linux \sound\soc\s3c24xx\s3c2410-uda1341.c int init s3c2410_uda1341_init(void)

9 -->driver_register(&s3c2410iis_driver); 注册平台驱动 假如内核中有同名 s3c2410-iis 的 平台设备 时, 就调用这个 平台驱动 中的 probe 函数 :s3c2410iis_probe int s3c2410iis_probe(struct device *dev) -->iis_base = (void *)S3C24XX_VA_IIS ; IIS 模块的虚拟地址 -->iis_clock = clk_get(dev, "iis"); 使能 IIS 模块时钟 clk_enable(iis_clock); --> 配置 L3 接口 : GPB2~4 配置成 输出引脚 /* GPB 4: L3CLOCK, OUTPUT */ s3c2410_gpio_cfgpin(s3c2410_gpb4, S3C2410_GPB4_OUTP); s3c2410_gpio_pullup(s3c2410_gpb4,1); /* GPB 3: L3DATA, OUTPUT */ s3c2410_gpio_cfgpin(s3c2410_gpb3,s3c2410_gpb3_outp); /* GPB 2: L3MODE, OUTPUT */ s3c2410_gpio_cfgpin(s3c2410_gpb2,s3c2410_gpb2_outp); s3c2410_gpio_pullup(s3c2410_gpb2,1); 1. 从原理图上看 L3 引脚的连接 : 上面是 UDA1341 芯片管脚连接, 和 2440 上的管脚连接 1) --> GPE0~4 配置成用于 IIS: /* GPE 3: I2SSDI */ s3c2410_gpio_cfgpin(s3c2410_gpe3,s3c2410_gpe3_i2ssdi); s3c2410_gpio_pullup(s3c2410_gpe3,0); /* GPE 0: I2SLRCK */ s3c2410_gpio_cfgpin(s3c2410_gpe0,s3c2410_gpe0_i2slrck); s3c2410_gpio_pullup(s3c2410_gpe0,0); /* GPE 1: I2SSCLK */ s3c2410_gpio_cfgpin(s3c2410_gpe1,s3c2410_gpe1_i2ssclk); s3c2410_gpio_pullup(s3c2410_gpe1,0); /* GPE 2: CDCLK */

10 s3c2410_gpio_cfgpin(s3c2410_gpe2,s3c2410_gpe2_cdclk); s3c2410_gpio_pullup(s3c2410_gpe2,0); /* GPE 4: I2SSDO */ s3c2410_gpio_cfgpin(s3c2410_gpe4,s3c2410_gpe4_i2ssdo); s3c2410_gpio_pullup(s3c2410_gpe4,0); -->init_s3c2410_iis_bus(); 初始化总线 初始 2400 中的 IIS 控制器 --> raw_writel(0, iis_base + S3C2410_IISPSR); raw_writel(0, iis_base + S3C2410_IISCON); raw_writel(0, iis_base + S3C2410_IISMOD); raw_writel(0, iis_base + S3C2410_IISFCON); clk_disable(iis_clock); -->init_uda1341(); 使用 L3 接口初始化 uda1341 芯片 -->uda1341_l3_address(uda1341_reg_status); /* 地址模式 */ 假如要把 UDA1341_REG_DATA0 发送出去, 则是发送 0x14( ), 从低到高位是 则低 2 位是数据类型 DATA0"00", 设置地址是 , 这里是状态 void uda1341_l3_address(u8 data) -->// write_gpio_bit(gpio_l3mode, 0); s3c2410_gpio_setpin(s3c2410_gpb2,0); 把 GPB2 即 L3MODE 引脚设置为 0 低电平 -->// write_gpio_bit(gpio_l3clock, 1); s3c2410_gpio_setpin(s3c2410_gpb4,1); 把 GPB4 即 L3CLOCK 设置为 1 高电平 --> 将形参 u8 data 数据的每一位发送出去

11 for (i = 0; i < 8; i++) { if (data & 0x1) { 若最低位是 1( 与上 1 应该是先发出最低位 ) s3c2410_gpio_setpin(s3c2410_gpb4,0); 先让时钟为低电平. s3c2410_gpio_setpin(s3c2410_gpb3,1); 然后让数据变为 1. udelay(1); s3c2410_gpio_setpin(s3c2410_gpb4,1); } else { s3c2410_gpio_setpin(s3c2410_gpb4,0); 否则时钟变为低电平 s3c2410_gpio_setpin(s3c2410_gpb3,0); 数据也变成成低电平 udelay(1); s3c2410_gpio_setpin(s3c2410_gpb4,1); 拉高时钟线 } data >>= 1; 接着再把数据右移一位 } -->uda1341_l3_data(0x40 STAT0_SC_384FS STAT0_IF_MSB STAT0_DC_FILTER); 发完数据类型为 STATUS 后, 就开始发数据 STAT0_SC_384FS - 时钟频率 STAT0_IF_MSB-- 接口模式 2. 地址模式 : L3MODE 低电平,L3CLK 发出 8 个时钟,L3DAT 在 8 个时钟里发出数据 --> 发完地址后, 再发出数据 : uda1341_l3_data(data0 DATA0_VOLUME(0x0)); // maximum volume 设置音量 uda1341_l3_data(data1 DATA1_BASS(uda1341_boost) DATA1_TREBLE(0)); uda1341_l3_data((data2 DATA2_DEEMP_NONE) &~(DATA2_MUTE)); uda1341_l3_data(extaddr(ext2)); uda1341_l3_data(extdata(ext2_mic_gain(0x6)) EXT2_MIXMODE_CH1);

12 1) --> 设置两个 DMA 通道 : 一个用来播放, 一个用来录音 output_stream.dma_ch = DMACH_I2S_OUT; if (audio_init_dma(&output_stream, "UDA1341 out")) { audio_clear_dma(&output_stream,&s3c2410iis_dma_out); printk( KERN_WARNING AUDIO_NAME_VERBOSE ": unable to get DMA channels\n" ); return -EBUSY; } input_stream.dma_ch = DMACH_I2S_IN; if (audio_init_dma(&input_stream, "UDA1341 in")) { audio_clear_dma(&input_stream,&s3c2410iis_dma_in); printk( KERN_WARNING AUDIO_NAME_VERBOSE ": unable to get DMA channels\n" ); return -EBUSY; } 当把内存中的音频数据传到 2440 IIS 控制器时, 用 DMA 来做 DMA 就是不用 CPU 来操作, 在 DMA 中设置源 目的和长度后就自动把数据传给 IIS 控制器 当有数据来时,DMA 又从 IIS 控制器取出数据放到内存 -->audio_dev_dsp = register_sound_dsp(&smdk2410_audio_fops, -1); -->audio_dev_mixer = register_sound_mixer(&smdk2410_mixer_fops, -1); 2) 分析 :audio_dev_dsp = register_sound_dsp(&smdk2410_audio_fops, -1); audio_dev_dsp = register_sound_dsp(&smdk2410_audio_fops, -1); -->sound_insert_unit() 在 sound_core.c 核心层 sound_insert_unit(&chains[3], fops, dev, 3, 131, "dsp", S_IWUSR S_IRUSR, NULL); 这里是把 &smdk2410_audio_fops 放到 &chains[3] 里 ( 把 smdk2410_audio_fops 放到了 chains 链表的第三项里 ) 3) 分析 sound_core.c: int init init_soundcore(void) -->register_chrdev(sound_major, "sound", &soundcore_fops)

13 在注册的 'file_operations' 结构中只有一个 ".open", 而读写函数没有, 则 open 只是 跳转作用 -->s = look_for_unit(chain, unit); unit 是次设备号 是从某个 chains[chain] 得到结构体 sound_unit --> 然后从这个 s 结构体中得到一个新的 file_operations 结构体 : 其中有 read,write 等, 和 LCD 的框架差不多 new_fops = fops_get(s->unit_fops); -->device_create(sound_class, dev, MKDEV(SOUND_MAJOR, s->unit_minor), s->name+6); 最终会创建设备 :/dev/dsp 这个设备节点做什么用, 最终要看 &smdk2410_audio_fops 因为 open 时会从链表 chain[3] 中找到 smdk2410_audio_fops 把它赋值给 file->f_op 1. 主设备号 2. file_operations 3. register_chrdev app: open () // 假设主设备号为 14 soundcore_open() 从这个.open 函数最后找到新的 file_operations 结构的读写 int unit = iminor(inode); 以次设备号为下标 得到一个 sound_unit 结构. s = look_for_unit(chain, unit); 以次设备号为下标得到一个 sound_unit 声间单元. 从 chains 数组里得到 s, 谁来设置这个数组? new_fops = fops_get(s->unit_fops); 若声音单元 s 存在得从中得到一个 fops file->f_op = new_fops; err = file->f_op->open(inode,file); 若此新的 file_operations 结构有 open 则调用它的 open 录音 : app: read

14 file->f_op->read 调用到新的 file_operations 中的读 播放 : app: write file->f_op->write 调用到新的 flie_operations 中的写 write: smdk2410_audio_write, : 写时, 将数据通过 2440 的 IIS 控制器发给音频编解码 芯片 ssize_t smdk2410_audio_write(struct file *file, const char *buffer, size_t count, loff_t * ppos) 把应用程序的数据拷贝到 const char *buffer, 最后 s3c2410_dma_enqueue() -- 把 dma 放到队列,dma 会自动把内存里的数据取出来发送给 IIS 控制器 IIS 控制器 再通过 IIS 接口发给 编解码芯片, 编解码芯片 启动 DAC 转换将数字信号转成模拟信 号发送给耳机等 4) 分析 :audio_dev_mixer = register_sound_mixer(&smdk2410_mixer_fops, -1); int register_sound_mixer(const struct file_operations *fops, int dev) -->sound_insert_unit(&chains[0], fops, dev, 0, 128, "mixer", S_IRUSR S_IWUSR, NULL); 将 "smdk2410_mixer_fops" 结构放到 chains 的第 0 项 -->r = sound_insert_unit(s, list, fops, index, low, top); -->device_create(sound_class, dev, MKDEV(SOUND_MAJOR, s->unit_minor),s->name+6); 创建设备 :/dev/mixer 这个设备节点做什么用得看 :smdk2410_mixer_fops ioctl: smdk2410_mixer_ioctl, int smdk2410_mixer_ioctl(struct inode *inode, struct file *file,unsigned int cmd, unsigned long arg) -->SOUND_MIXER_WRITE_VOLUME 调整音量 -->SOUND_MIXER_READ_VOLUME 读取音量等等 从以上的分析看, 声卡驱动是字符驱动, 分析比较困难是因为对声卡硬件不熟悉 LINUX 中有两套声卡驱动程序 : ALSA( 改进的 LINUX 声音架构 ) OOS

15 ALSA 非常复杂 3. 测试 : 1) 在 make menuconfig 中搜索 :

16 2) 1. 确定内核里已经配置了 sound\soc\s3c24xx\s3c2410-uda1341.c -> Device Drivers -> Sound -> Advanced Linux Sound Architecture -> Advanced Linux Sound Architecture -> System on Chip audio support <*> I2S of the Samsung S3C24XX chips 3) 2. make uimage 使用新内核启动 4) 3. ls -l /dev/dsp /dev/mixer 5) 4. 播放 : 在 WINDOWS PC 里找一个 wav 文件, 放到开发板根文件系统里 cat Windows.wav > /dev/dsp 6) 5. 录音 : cat /dev/dsp > sound.bin 然后对着麦克风说话 ctrl+c 退出 cat sound.bin > /dev/dsp // 就可以听到录下的声音 linux \sound\soc\s3c24xx\s3c2410-uda1341.c mini2440 的麦克风是从通道 2 进来的 ( 原理图 ):

17 EXT2_MIXMODE_CH1 是指麦克风在原理图上接的通道 1, 天嵌 2440 接通道 1, 而 mini2440 要改成通道 2 EXT2_MIXMODE_CH2 mini2440 修改如下 : 4. 分析声卡中的 DMA: 输出 stream 中的 DMA( 是播放的意思 ) audio_init_dma() 音频初始化 DMA 函数 int init audio_init_dma(audio_stream_t * s, char *desc) s->dma_ch == DMACH_I2S_OUT -->ret = s3c2410_dma_request(s->dma_ch, &s3c2410iis_dma_out, NULL); 2410DMA 请求

18 从代码中可知 s3c2410_dma_request() 函数形参 1 为 s->dma_ch 即 DMACH_I2S_OUT, 从 2440 DMA 控制器中可知 I2S OUT 只有上表中的 Ch-0 I2SSDO 和 Ch-2 I2SSDO 则就是说 播放 时只有 通道 0 或 通道 2 可以选择 s3c2410_dma_request() 函数里, 要是 Ch-0 被占用, 就用 Ch-2 若是两者都被占用则返回失败 int s3c2410_dma_request(unsigned int channel,struct s3c2410_dma_client *client,void *dev) -->chan = s3c2410_dma_map_channel(channel); DMA 映射通道 -->s3c2410_dma_devconfig(channel, source, hwcfg, devaddr); 配置 DMA 等待, 这些函数都是对 2440 的 DMA 配置封装好的, 其中就是操作些寄存器 最后, 要 DMA 传输数据时 : size_t smdk2410_audio_write(struct file *file, const char *buffer, size_t count, loff_t * ppos) -->copy_from_user(b->start + b->size, buffer, chunksize) 将数据从用户空间拷贝进来 -->ret = s3c2410_dma_enqueue(s->dma_ch, (void *) b, b->dma_addr, b->size) enqueue 即入队列 启动 DMA 操作 -->s3c2410_dma_ctrl(chan->number DMACH_LOW_LEVEL, S3C2410_DMAOP_START); 启动 DMA --> 启动 DMA case S3C2410_DMAOP_START: return s3c2410_dma_start(chan); -->tmp = S3C2410_DMASKTRIG_ON; "#define S3C2410_DMASKTRIG_ON (1<<1)" -->dma_wrreg(chan, S3C2410_DMA_DMASKTRIG, tmp);

19 三 WM8976 硬件设置 : 内核中并没有 WM8976 的驱动 传输声音数据 :IIS 是标准规范, 不管使用什么声卡芯片, 都可以用 IIS 1. 传输控制信息 : 控制接口 芯片寄存器 2. 一, 控制接口 : 1) 两种模式 : 两线模式与三线模式 :

20 1 两线模式 : I2C 接口 (MODE 引脚是高电平 ) 若 MODE 引脚是低电平, 就只用到 SCLK 和 SDIN 引脚 这就是 I2C 的引脚 2 三线模式 : 两线模式是 I2C 接口 MODE 引脚是高电平, 就成为 三线模式 : 先传 16 位数据 ( 如上 SCLK16 个有标箭头的脉冲 ), 先传的 16 位数据是先传最高位 CSB 是来一个低脉冲时把前面的 16 位数据取进来 CSB 平时高电平, 每一个 SCLK 脉冲有一个 SDIN 数据 传完 16 位数据后,CSB 来一个低脉冲, 芯片就要把这 16 位数据来操作 16 位数据中, 前 7 位是 寄存器地址, 后 9 位是 数据 从上面分析,IIS 控制部分不用修改, 只是要针对具体的芯片写 控制接口, 如上面的 WM8976 的控制接口就不是 "UDA1341" 的 L3 控制接口 还有就是 芯片寄存器 不一样

21 2) 写 WM8976 驱动 : 3) 设计代码 : 3. 一, 写寄存器函数 : 按 WM8976 芯片手册的时序写 1) 数据为 16 位, 前 7 位为地址, 后 9 位为数据 过程先关中断, 最后操作完后开中断 1 原理图和时序图如下 :

22 2 2, 设置时序 :

23 写哪些寄存器 : 这个需要从头慢慢看芯片手册 WM8976 1) p80: 1, 打开电源, 等待电源稳定 ( 硬件上 ) 2, 关闭所有的模拟输出 3, 在寄存器 R3 里设置 L/RMIXEN=1;DACENL/R=1 等待 这一步骤需要很久才能调试好

24 WM8976 只有 58 个寄存器 4. 1, 先复位 : 下面是寄存器说明 :P87 开始是 58 个寄存器的取值说明

25 R0( 寄存器 0):software reset. 在 P78 说明是 写任何一个值到 software reset 寄存器即可复位芯片 是电时是复位的 ( 里面有上电复位电路 ) 5. 2, 往寄存器 3 写入一个值 : 这里先写 R3 而不是按顺序先写 R2, 是因为芯片上的操作步骤中推荐 : 从上面看到这个 寄存器 3 的设置有 9 位 每一位的功能也如上说明了 这些位在原理图 上也会有相应的引脚, 是否设置它们也要看原理图是否有接线 : 1 声道使能 :bit5 ~ bit8 OUT3,OUT4 并没有接线, 就不用设置 LOUT2EN ROUT2EN 只需要 OUT1 的左声道和右声道 还有 OUT2 的 SPK+\SPK-:

26 2, 混音使能和 ADC 使能 : bit3 ~ bit0 多路叠加在一起, 再输出 从上面的框架图来年地, 有通过 混音器, 所以使能它 而且 DAC 也要使能

27 3 3, 往寄存器 1 中写值 : 这里为了简化设置, 全部都设置为 1, 都使能起来 Bit4"MICBEN: 麦克风偏至使能 麦克风有电源, 平时为了省电, MICBIAS 引脚不输出, 要用麦克风时一定要他使能 4 4, 还有很多寄存器要设置, 但对于硬件来说不熟悉时, 很多可以参考网上别人写的代 码 这里其他寄存器的设置也类似 结果如下 : 设置也这些寄存器后, 就操作完了 WM8976 芯片 2) 三, 其他设置 : 1, 调整音量和混音器的增益信号 : UDA1341 的音量是先发出 DATA0 后再发出音量

28 这 6 位 (VC5~VC0) 值越小, 音量越大 6 个 1 即 为十进制 63 初步设置芯片时, 可以先去掉这些设置, 调整好芯片后再开启这些功能 6. 四, 编译测试 : 1) 怎么写 WM8976 驱动程序? 1. IIS 部分一样, 保持不变 2. 控制部分不同, 重写

29 1 测试 WM8976: 1. 确定内核里已经配置了 sound\soc\s3c24xx\s3c2410-uda1341.c -> Device Drivers -> Sound -> Advanced Linux Sound Architecture // 兼容 OSS -> Advanced Linux Sound Architecture -> System on Chip audio support <*> I2S of the Samsung S3C24XX chips 2 2. 修改 sound/soc/s3c24xx/makefile obj-y += s3c2410-uda1341.o 改为 : obj-y += s3c-wm8976.o 3 3. make uimage 使用新内核启动 4 4. ls -l /dev/dsp /dev/mixer 5 5. 播放 : 在 WINDOWS PC 里找一个 wav 文件, 放到开发板根文件系统里 cat Windows.wav > /dev/dsp 6 6. 录音 : cat /dev/dsp > sound.bin 然后对着麦克风说话, 若是麦克风的 增益 没设置, 输入的声音的音量会很少 ctrl+c 退出 cat sound.bin > /dev/dsp // 就可以听到录下的声音 修改音量和混音增益 :

30 从上面的提示看 52 和 53 号寄存器 : 52 寄存器的 HPVU 必须写为 1, 音量才会更新 从 bit[5~0] 看是值越大, 音量越大 默认值是 , 十进制为 57 Uda1341_volume = 57, 名字没变, 这里是设置 WM8976 的默认音量 应用程序得到的值是 100, 而 WM8976 的音量是 0-63 : 这要扩大一下 应用程序传入 100 时, 这个 52 寄存器的 bit[5:0] 是为 63. 应用程序传入 0 时, 这个 52 寄存器的 bit[5:0] 是为 0. 可以算出音量值 : 音量 = val*63/100

31 设置 WM8976 的 52 号寄存器, 先让 bit8 为 1, 再让 bit5-0 设置上值, 所以是 (1<<8) 音量值 2) 下面是读音量 : 以上只是自已通过寄存器设置这个声卡芯片, 要是想很清楚的设置此芯片, 还是要看厂家提 供的驱动来修改, 厂家对芯片最熟悉 要是厂家没有提供驱动, 那就没办法只能自已慢慢摸 索 四 播放 MP3: MP3 是压缩文件, 要先解压它, 再发给 2440 的 IIS 控制器处理后, 再发给 编解码芯片 1. 使用 madplay 测试声卡 : 1. 解压 : tar xzf libid3tag b.tar.gz // 库 tar xzf libmad b.tar.gz // 库 tar xzf madplay b.tar.gz // APP 依赖上面两个库文件 2. 先编译库文件, 编译 libid3tag b mkdir tmp cd libid3tag b./configure --host=arm-linux --prefix=/work/drivers_and_test/21th_sound/app/tmp make make install

32 3. 编译 libmad b cd libmad b./configure --host=arm-linux --prefix=/work/drivers_and_test/21th_sound/app/tmp make make install 4. 编译 madplay cd madplay b/./configure --host=arm-linux --prefix=/work/drivers_and_test/21th_sound/app/tmp LDFLAGS="- L/work/drivers_and_test/21th_sound/app/tmp/lib" CFLAGS="-I /work/drivers_and_test/21th_sound/app/tmp/include" 上面配置时指定了链接的库和库的头文件 make make install 5. 把 tmp/bin/* tmp/lib/*so* 复制到根文件系统 : -d 保持链接属性. 6. 把一个 mp3 文件复制到根文件系统 7. madplay --tty-control /1.mp3 播放过程中不断按小键盘的减号 ("-") 会降低音量 不断按小键盘的加号 ("+") 会降低音量

网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析 内核中的理解 : 内核这样理解 :... 2 RTC 测试 RTC:

网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析 内核中的理解 : 内核这样理解 :... 2 RTC 测试 RTC: 网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 驱动框架分析... 2 1. 2.4 内核中的理解 :... 2 2. 2.6 内核这样理解 :... 2 RTC... 6 1. 测试 RTC:... 11 1. 1. 修改 arch\arm\plat-s3c24xx\common-smdk.c... 11 2.

More information

static struct file_operations gpio_ctl_fops={ ioctl: gpio_ctl_ioctl, open : gpio_open, release: gpio_release, ; #defineled1_on() (GPBDAT &= ~0x1) #def

static struct file_operations gpio_ctl_fops={ ioctl: gpio_ctl_ioctl, open : gpio_open, release: gpio_release, ; #defineled1_on() (GPBDAT &= ~0x1) #def Kaise s 2410 Board setting [1]. Device Driver Device Driver Linux s Kernel ARM s kernel s3c2410_kernel2.4.18_r1.1_change.tar.bz2 /usr/src (1) #cd /usr/src (2) #tar xfj s3c2410_kernel2.4.18_r1.1_change.tar.bz2

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

Microsoft Word - 实用案例.doc

Microsoft Word - 实用案例.doc 计 算 机 系 统 应 用 2009 年 第 12 期 嵌 入 式 Linux 下 温 湿 度 传 感 器 的 设 计 与 实 现 1 Design and Implementation of Temperature and Humidity Sensor Based on Embedded Linux 陈 博 刘 锦 高 ( 华 东 师 范 大 学 电 子 科 学 技 术 系 上 海 200241)

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

WM8960 Audio HAT 用户手册 WM8960 Audio HAT 用户手册 前言 本产品是基于树莓派而设计的音频模块, 采用 WM8960 低功耗立体声编解码器, 通 过 I2C 接口控制,I2S 接口传输音频 板载标准 3.5mm 耳机接口, 可通过外接耳机播放音 乐, 同时也可通过双

WM8960 Audio HAT 用户手册 WM8960 Audio HAT 用户手册 前言 本产品是基于树莓派而设计的音频模块, 采用 WM8960 低功耗立体声编解码器, 通 过 I2C 接口控制,I2S 接口传输音频 板载标准 3.5mm 耳机接口, 可通过外接耳机播放音 乐, 同时也可通过双 WM8960 Audio HAT 用户手册 前言 本产品是基于树莓派而设计的音频模块, 采用 WM8960 低功耗立体声编解码器, 通 过 I2C 接口控制,I2S 接口传输音频 板载标准 3.5mm 耳机接口, 可通过外接耳机播放音 乐, 同时也可通过双通道喇叭接口外接喇叭播放 板子左右两边有一个高质量 MEMS 硅麦 克风, 可以立体声录音 产品特性 供电电压 :5V 逻辑电压 :3.3V 音频编解码芯片

More information

FR-33.2_user_manual.indd

FR-33.2_user_manual.indd IPEVO Skype www.ipevo.com.tw 1. IPEVO Skype FR-33.2 FR-33.2 FR-33.2 FR-33.1 FR-33.2 2. 2-1 2-2 3. 4. FR-33.2 4-1 Skype 4-2 4-3 4-4 4-5 LIST 4-6 4-7 4-8 5. FR-33.2 5-1 5-2 5-3 5-4 5-5 6. IPEVO Control Center

More information

DVK530/531扩展板

DVK530/531扩展板 DVK710 扩展板 驱动移植手册 2014.06.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.06.03 初始发布 深圳市微雪电子有限公司 www.waveshare.netii I 目录版权声明... I 版本更新记录...

More information

网卡驱动程序框架 网卡驱动程序 收发功能 : 只要把上层的数据发给网卡, 从网卡来的数据构造成包给上层即可 网卡只需要 socket 编程, 不需要打开某设备 驱动程序都是以面向对象的思想写的, 都有相关的结构体 编程步骤 1, 分配某结构体 :net_device 2, 设置结构体 1, 提供一个

网卡驱动程序框架 网卡驱动程序 收发功能 : 只要把上层的数据发给网卡, 从网卡来的数据构造成包给上层即可 网卡只需要 socket 编程, 不需要打开某设备 驱动程序都是以面向对象的思想写的, 都有相关的结构体 编程步骤 1, 分配某结构体 :net_device 2, 设置结构体 1, 提供一个 网名 鱼树 的学员聂龙浩, 学习 韦东山 Linux 视频第 2 期 时所写的笔记很详细, 供大家参考 也许有错漏, 请自行分辨 目录 网卡驱动程序框架... 2 网卡驱动程序 收发功能 :... 2 编程步骤... 2 --> 设置 net_device 结构 :... 2 --> 硬件相关设置... 2 接收到数据要做的事情 :... 3 发包函数 :... 3 写一个虚拟网卡驱动 :... 3

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

untitled

untitled T.sonic 820 ...1...1... 1...2...3...4... 4...4...4...4...4...5... 5 OLED...6... 6...7...7...7...8...8...8...8...8...8...8...8...8...8...9...9 /...9 A-B :...9...9 T.sonic 820...9...10... 10 MP3, WMA WMA-DRM10...10...11...11...12

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

Guava学习之CharSequenceReader

Guava学习之CharSequenceReader CharSequenceReader 类是以 CharSequence 的形式读取字符 CharSequenceReader 类继承自 Reader 类, 除了 remaining() hasremaining() 以及 checkopen() 函数之后, 其他的函数都是重写 Reader 类中的函数 CharSequenceReader 类声明没有用 public 关键字, 所以我们暂时还不能调用这个类

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

简 介 关 于 本 说 明 书 将 来 本 文 件 的 内 容 如 有 变 更 恕 不 预 先 通 知 有 关 产 品 名 称 和 机 型 号 码 的 最 新 信 息 请 联 系 我 们 的 顾 客 支 持 中 心 详 细 使 用 说 明 书 中 使 用 的 液 晶 显 示 器 和 主 机 的 插

简 介 关 于 本 说 明 书 将 来 本 文 件 的 内 容 如 有 变 更 恕 不 预 先 通 知 有 关 产 品 名 称 和 机 型 号 码 的 最 新 信 息 请 联 系 我 们 的 顾 客 支 持 中 心 详 细 使 用 说 明 书 中 使 用 的 液 晶 显 示 器 和 主 机 的 插 MULTI-TRACK LINEAR PCM RECORDER LS-100 多 曲 目 线 性 PCM 录 音 机 详 细 使 用 说 明 书 感 谢 您 购 买 本 录 音 机 请 阅 读 本 手 册 以 正 确 及 安 全 的 使 用 本 产 品 请 将 手 册 保 存 在 方 便 取 阅 之 处, 以 便 作 为 日 后 之 参 考 为 确 保 能 成 功 的 录 音, 我 们 建 议 您

More information

untitled

untitled ...1... 1...2... 2... 3... 4... 5...6... 6... 7... 8... 9...11...11... 12... 12...13... 13 ... 13... 14... 15... 16... 18... 19... 20... 20... 21... 22... 22... 23... 23...24... 24... 25... 25... 26...

More information

ebook15-C

ebook15-C C 1 1.1 l s ( 1 ) - i i 4. 14 - d $ l s -ldi /etc/. /etc/.. - i i 3077 drwxr-sr-x 7 bin 2048 Aug 5 20:12 /etc/./ 2 drwxr-xr-x 13 root 512 Aug 5 20:11 /etc/../ $ls -ldi /. /..... i 2 2 drwxr-xr-x 13 root

More information

Guava学习之Resources

Guava学习之Resources Resources 提供提供操作 classpath 路径下所有资源的方法 除非另有说明, 否则类中所有方法的参数都不能为 null 虽然有些方法的参数是 URL 类型的, 但是这些方法实现通常不是以 HTTP 完成的 ; 同时这些资源也非 classpath 路径下的 下面两个函数都是根据资源的名称得到其绝对路径, 从函数里面可以看出,Resources 类中的 getresource 函数都是基于

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number 5.2007 Volume 2.Number

More information

新・解きながら学ぶC言語

新・解きながら学ぶC言語 330!... 67!=... 42 "... 215 " "... 6, 77, 222 #define... 114, 194 #include... 145 %... 21 %... 21 %%... 21 %f... 26 %ld... 162 %lf... 26 %lu... 162 %o... 180 %p... 248 %s... 223, 224 %u... 162 %x... 180

More information

SA29 Simplified Chinese user manual

SA29 Simplified Chinese user manual SA95 SA90 SA96 SA940 SA945 SA90 SA946 SA95 SA986 Philips Philips www.philips.com/welcome ? www.philips.com/welcome 6. 6. 6 7. 7. 8. 8.4 9.4. USB 9.4. 9.5 0.5. 0.6 0.6. 0.6. 0.6. 0 4 4. 4.. 4.. 4.. 4. 4

More information

1

1 1 2 3 4 5 GNUDebugger 6 7 void main(int argc, char **argv){ vulncpy(argv[1]); return; } void vulncpy(char *a){ char buf[30]; strcpy(buf, a); return; } *argv[1] buf Shellcode *argv[1]... &buf &buf 8 strcpy

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0 SDChain-Matrix 节点搭建指南 目录 1 环境要求... 3 2 软件下载... 4 3 安装部署... 4 3.1 部署可执行程序目录... 4 3.2 部署配置文件目录... 4 3.3 部署数据库文件目录... 4 3.4 部署日志文件目录... 4 3.5 部署依赖库文件目录... 4 4 配置参数... 5 5 启动运行... 7 5.1 普通模式启动... 7 5.2 加载启动模式...

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

Microsoft Word - Index.doc

Microsoft Word - Index.doc Programmer: B95902048 B95902085 WaveData #include ham // gfx2gba -fsrc -m -pb.pal -t8 water.bmp bg1.bmp bg2.bmp gameover.bmp water_atked.bmp #include "gfx/bg.pal.c" #include "gfx/bg.raw.c"

More information

epub 63-3

epub 63-3 3 Solaris S o l a r i s S o l a r i s 2 S o l a r i s s h e l l p a s s w d v i l s c a t p g m o r e r m 3.1 3.1.1 c p c p c o p y c p c p cp source-file destination-file s o u r c e - f i l e c p d e

More information

***********************************************************************************

*********************************************************************************** *********************************************************************************** * 文 档 名 称 :LINUX 下 的 串 口 通 信 编 程 与 调 试 * 文 档 作 者 : 熊 益 铭 (xiongyiming@nari-china.com) * 创 建 日 期 :2005 年 8 月 2 日 * 修 订

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

SA1MXX Chinese user manual

SA1MXX Chinese user manual Register your product and get support at www.philips.com/welcome SA1MXX02B SA1MXX02KN SA1MXX02K SA1MXX04KN SA1MXX02W SA1MXX04B SA1MXX04K SA1MXX04P SA1MXX04W SA1MXX04WS SA1MXX08K 1 2 2 3 2 Digital Audio

More information

第11章 可调内核参数

第11章 可调内核参数 11 11 Unix BSD 4.4 Linux sysctl Unix Linux /proc window /proc /proc/sys /proc/sys sysctl Unix root /proc/sys/vm root /proc/sys sysctl /proc/sys struct ctl_table 18274 struct ctl_tables /proc/sys struct

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

ARB7318B.01.25_cs

ARB7318B.01.25_cs VSA-AX10Ai-G Advanced MCACC 2 1 2 3 3 4 5 4 VIDEO AUDIO CD-R/ MULTI CH VIDEO DVR/VCR1 VCR2 VCR3 CD TAPE1/MD TUNER PHONO INPUT AUDIO/VIDEO MULTI-CHANNEL AMPLIFIER LISTENING MODE SELECTOR TURN/PUSH MASTER

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Microsoft Word - linux命令及建议.doc

Microsoft Word - linux命令及建议.doc Linux 操 作 系 统 命 令 集 1 基 本 命 令 查 看 系 统 信 息 : uname -a 修 改 密 码 : passwd 退 出 : logout(exit) 获 取 帮 助 : man commands 2 文 件 和 目 录 命 令 显 示 当 前 工 作 目 录 : pwd 改 变 所 在 目 录 : cd cd - 切 换 到 上 一 次 使 用 的 目 录 cd 切 换

More information

基于Linux的internet收音机的实现

基于Linux的internet收音机的实现 基 于 Linux 的 internet 收 音 机 的 实 现 王 辉 版 权 } 华 清 远 见 嵌 入 式 培 训 中 心 版 权 所 有 ; } 未 经 华 清 远 见 明 确 许 可, 不 能 为 任 何 目 的 以 任 何 形 式 复 制 或 传 播 此 文 档 的 任 何 部 分 ; } 本 文 档 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 ; } 保 留 所 有

More information

嵌入式Linux块设备驱动开发解析

嵌入式Linux块设备驱动开发解析 The success's road 嵌 入 式 LINUX 网 络 驱 动 开 发 Copyright 2007-2008 Farsight. All rights reserved. 要 点 Linux 网 络 设 备 驱 动 程 序 概 述 计 算 机 网 络 概 述 skbuf 数 据 结 构 介 绍 Linux 网 络 设 备 驱 动 程 序 API 介 绍 Linux 网 络 设 备 驱

More information

新版 明解C言語入門編

新版 明解C言語入門編 328, 4, 110, 189, 103, 11... 318. 274 6 ; 10 ; 5? 48 & & 228! 61!= 42 ^= 66 _ 82 /= 66 /* 3 / 19 ~ 164 OR 53 OR 164 = 66 ( ) 115 ( ) 31 ^ OR 164 [] 89, 241 [] 324 + + 4, 19, 241 + + 22 ++ 67 ++ 73 += 66

More information

02

02 2 2-1 2 2-1 1. 1 2-2 2 100 2-3 2-2 2-3 17 2. 2-4 3. 2-5 2-4 2-5 4. 2-6 2-6 18 2 2-7 2-7 1. 2-8 2-8 19 F Z 2. 2-9 3. 5 2-10 1 2 3 4 5 1 10.94 10.94 1 2 3 4 5 1 2 3 45 1 11.95 11.95 20 2-9 2-10 4. 2-11 5.

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Linux内核的移植技术剖析

Linux内核的移植技术剖析 嵌入式培训专家 Linux 内核的移植技术剖析 主讲 : 宋宝华 www.farsight.com.cn 今天的内容 vbsp 的组成部分 vplat/mach 各组件的实现 内核节拍 中断管理 时钟 GPIO DMA IO 内存映射 v 设备与资源 platform device resource 和 plarform data uart/spi/i2c 等设备板级 resource vbsp 作用

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

. Outline 编译 Linux 在 QEMU 模拟器上运行制作带 grub 启动的磁盘映像...1 编译 Linux 在 QEMU 模拟器上运行...2 制作带 grub 启动的磁盘映像

. Outline 编译 Linux 在 QEMU 模拟器上运行制作带 grub 启动的磁盘映像...1 编译 Linux 在 QEMU 模拟器上运行...2 制作带 grub 启动的磁盘映像 .... 计算机应用教研室 @ 计算机学院嵌入式系统实验室 @ 苏州研究院中国科学技术大学 Fall 2010 . Outline 编译 Linux 在 QEMU 模拟器上运行制作带 grub 启动的磁盘映像...1 编译 Linux 在 QEMU 模拟器上运行...2 制作带 grub 启动的磁盘映像 . 编译 Linux 在 QEMU 模拟器上运行 qemu+linux-2.6.26.1. 准备模拟器.2.

More information

プログラムの設計と実現II

プログラムの設計と実現II UNIX C ls mkdir man http://www.tj.chiba-u.jp/lecture/prog2/ Ctrl+x, Ctrl+s ( )..[4]% gcc Wall o hoge hoge.c..[5]%./hoge 1 : 1 2 : 2 3 : 3 4 : 0 6..[6]% (! )..[4]% gcc Wall o hoge hoge.c..[5]%!g gcc Wall

More information

Cover-YP-35-ch

Cover-YP-35-ch AH68-01283C (Rev 0.0) PC USB USB CD Ripper yepp MP3 EQ File Direct Play DISPLAY BACK LIGHT BEEP CONTRAST SCROLL SPEED POWER OFF TIME DEFAULT VOLUME WOW LEVEL ENCODE MENU yepp 2 SRS 3 0 0 35-5/ 0 0 0 /

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

08级本科培养方案

08级本科培养方案 2012 版 自 动 化 专 业 人 才 培 养 方 案 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 素 质 能 力 知 识 协 调 统 一, 掌 握 自 动 化 领 域 的 基 本 理 论 基 本 知 识 和 专 业 技 能 的 高 级 应 用 型 工 程 技 术 人 才 主 要 从 事 电 力 行 业 新 能 源 领 域 及 其 它 工 业 领 域 有 关 过 程

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

软件测试(TA07)第一学期考试

软件测试(TA07)第一学期考试 一 判 断 题 ( 每 题 1 分, 正 确 的, 错 误 的,20 道 ) 1. 软 件 测 试 按 照 测 试 过 程 分 类 为 黑 盒 白 盒 测 试 ( ) 2. 在 设 计 测 试 用 例 时, 应 包 括 合 理 的 输 入 条 件 和 不 合 理 的 输 入 条 件 ( ) 3. 集 成 测 试 计 划 在 需 求 分 析 阶 段 末 提 交 ( ) 4. 单 元 测 试 属 于 动

More information

epub 33-8

epub 33-8 8 1) 2) 3) A S C I I 4 C I / O I / 8.1 8.1.1 1. ANSI C F I L E s t d i o. h typedef struct i n t _ f d ; i n t _ c l e f t ; i n t _ m o d e ; c h a r *_ n e x t ; char *_buff; /* /* /* /* /* 1 5 4 C FILE

More information

Data Server_new_.doc

Data Server_new_.doc 0i B/C Data Server Windows 2000 Window XP Windows XP FTP FANUC Data Server FTP liwei@beijing-fanuc 1 06-10-8 Content 1. /...3 1.1...3 1.2...3 1.3 CNC...3 2....5 2.1 STORAGE...5 2.2 FTP...6 2.3 BUFFER...7

More information

Symbian多媒体架构分析

Symbian多媒体架构分析 多媒体应用开发 要内容 多媒体框架 (MMF) 客户端 API 音频程序开发视频程序开发摄像头使用 媒体框架 (MMF) 客户端 API 放音调 播放音调 (1) 指定周期和频率的简单声音 (2)DTMF( 双音多频 ) 电话信号声音 (3) 存储在文件或描述中的声音序列 (4) 在手机中的预定义的声音序列 放音调 框架 放音调 播放音调工具类 CMdaAudioToneUtility 侦听器接口类

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 Linux 操 作 系 统 基 础 介 绍 课 程 目 标 及 要 求 了 解 Linux 操 作 系 统 的 登 入 方 式 掌 握 常 用 命 令 的 基 本 用 法 能 够 熟 练 在 各 个 目 录 转 换 Outline 1. Linux 操 作 系 统 简 介 2. Linux 操 作 系 统 的 登 录 3. Linux 操 作 系 统 的 目 录 结 构 4. 常 用 命 令 5.

More information

06-4.indd

06-4.indd 1 02 07 13 16 20 28 33 38 42 46 48 51 57 64 65 65 66 67 68 2 3 4 5 6 7 8 9 10 11 12 13 LL T : 14 LL T 15 16 扫描电子显微镜成像模拟的 MPI 及 OpenMP 并行化 17 18 19 20 21 22 ~ ~ ~ 23 24 ~ ~ ~ ~ ~ ~ ~ 25 26 27 28 29 图 3

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

ebook15-4

ebook15-4 4 4.1 I / O I / s t a t s t a t ( ) U N I X 4.2 stat fstat lstat s t a t #include #include int stat(const char p a * t h n a m e, struct stat b * u f) ; int fstat(int f i l e

More information

ESP-Jumpstart

ESP-Jumpstart 2016-2019 2019 08 08 Contents 1 3 1.1 ESP32.............................. 3 1.2.................................................. 5 2 7 2.1............................................. 7 2.2 ESP-IDF............................................

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice 路 ESW 聯 USB Chapter 9 Applications For Windows Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice USB I/O USB / USB 3 料 2 1 3 路 USB / 列 料 料 料 LED

More information

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7.

Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes 包管理理 工具 Helm 蔺礼强 Kubenetes 系列列公开课 2 每周四晚 8 点档 1. Kubernetes 初探 2. 上 手 Kubernetes 3. Kubernetes 的资源调度 4. Kubernetes 的运 行行时 5. Kubernetes 的 网络管理理 6. Kubernetes 的存储管理理 7. Kubernetes

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民

38 47995529 威 福 髮 藝 店 桃 園 市 蘆 竹 區 中 山 里 福 祿 一 街 48 號 地 下 一 樓 50,000 獨 資 李 依 純 105/04/06 府 經 登 字 第 1059003070 號 39 47995534 宏 品 餐 飲 桃 園 市 桃 園 區 信 光 里 民 1 08414159 惠 鴻 眼 鏡 行 桃 園 市 中 壢 區 福 德 里 中 華 路 一 段 186 號 1 樓 30,000 獨 資 宋 耀 鴻 105/04/27 府 經 登 字 第 1059003866 號 2 17891110 承 元 冷 氣 空 調 工 程 行 桃 園 市 桃 園 區 中 德 里 國 際 路 1 段 98 巷 50 號 2 樓 之 4 200,000 獨 資 詹 安 平

More information

Microsoft Word - AN3259C

Microsoft Word - AN3259C www.maxim-ic.com.cn 应用笔记 3259 DS31256 Envoy - 寄存器转储列程 概述本应用笔记提供了将 DS31256 的寄存器 排队程序 描述符和 FIFO RAM 的内容转储到一个文件的程序代码 这些数据在 DS31256 无法正常工作时非常关键, 为进一步的研究和调试提供了重要信息 例如, 寄存器数据经过转储后可以显示每个 DS31256 寄存器的设置 为了保证正确地设置器件,

More information

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一

考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 25 26 27 28 29 30 31 32 33 34 35 36 二 技 企 管 一 胡 宗 兒 中 文 輸 入 四 技 企 四 甲 林 姿 瑄 中 文 輸 入 二 技 企 管 一 考 試 日 期 :2016/04/24 教 室 名 稱 :602 電 腦 教 室 考 試 時 間 :09:50 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 五 專 企 二 乙 胡 哲 維 中 文 輸 入 五 專 企 二 乙 周 林 昜 中 文 輸 入 五 專 企 二 乙 賴 昱 樺 中 文 輸 入 五 專 企 二 乙

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information