NET-1203

Size: px
Start display at page:

Download "NET-1203"

Transcription

1 NET 路 12 位 200KHz AD,2 路 12 位 DA,16 路 DIO, 2 路测频,1 路分频,2 路 24 位 PWM 输出 用户使用手册 北京新超仁达科技有限公司 技术支持 : 版本 :5.5

2 一 前言...3 二 概述...3 三 主要特点 性能... 3 四 原理说明 逻辑框图 工作原理简述... 4 五 使用 JP3 JP4:AD 输入量程选择 A/D 注意事项 JP1 JP2:DA 输出量程选择 D/A 注意事项 : IP 及 MAC 设置 :( 八位拨码开关 SW 设置 ) PC 机 IP 设置方法 : 测频原理 PWM 输出原理...8 六 引脚定义 模拟输入输出引脚定义 数字量输入输出 脉冲输入 脉冲输出引脚定义...11 七 电位器功能与输出码制对应关系 四个电位器 RW1 RW2 RW3 RW4, 功能 : 调整 A/D 两个电位器 RW5 RW6 功能 : 调整 D/A 输出码制对应关系 模拟量输入的数据格式 码制 八 常用信号的连接 处理 模拟输入低通滤波: 模式的电流/ 电压变换 : 外部模拟输入信号的接法: 数字量输出接法: 九 软件 测试程序 函数调用说明 DLL 函数全部是 WINAPI 调用约定的, 即 _stdcall 接口 关于 NET1203.dll 位置的说明 驱动文件 十 编程指导 VC 程序编程说明 VB 程序编程说明 LabVIEW 程序编程说明 LabWindows/CVI 编程说明 Delphi 程序编程说明 十一 维修服务 产品完整性 维修 服务...21 十二 附录 : 技术支持 : 版本 :5.5

3 NET-1203 多功能卡 一 前言 信息社会的发展, 在很大程度上取决于信息与信号处理技术的先进性 数字信号处理技术的出现改变了信息与信号处理技术的整个面貌, 而数据采集作为数字信号处理的必不可少的前期工作在整个数字系统中起到关键性 乃至决定性的作用, 其应用已经深入到信号处理的各个领域中 实时信号处理 数字图像处理等领域对高速度 高精度数据采集卡的需求越来越大 ISA 总线由于其传输速度的限制而逐渐被淘汰 我公司推出的基于 PCI 总线 USB 以太网总线等数据采集卡综合了国内外众多同类产品的优点, 以及使用的便捷 稳定的性能 极高的性价比, 获得多家客户的好评, 是一系列真正具有可比性的产品, 也是您理想与明智的选择 衷心感谢您选用我公司的产品! 二 概述 NET-1203 是一款性价比极高的多功能通用 A/D 板, 经过精心设计, 采用以太网总线接口,TCP 传输带宽能达到 20Mbps 以上 适合测量变送器输出 直流电压等场合的测量应用 NET-1203 具有 16 路模拟输入 2 路 12 位模拟输出 32 路开关量 (16 路 TTL 输入及 16 路 TTL 输出 ) 2 路 24 位加法计数器测频, 2 路 24 位 PWM( 或指定 Pulse 个数 ) 输出 NET-1203 的逻辑控制采用现场可编程逻辑器件 (FPGA) 实现, 以提高可靠性 同时数字地 (GND) 模拟地分离 (AGND), 单点接地, 消除回路干扰 本板性能非常稳定,AD 采样稳定在 mv 级 三 主要特点 性能 A/D 转换器 :200KHZ 12 位 A/D,ADC 内置采样保持器 工作方式 : 软件查询 16 路单端输入, 输入阻抗 >100M, 最大输入电压 :< +12V / -5.5V, 瞬时输入耐压 :-25V - +30V, IDC-20 输入连接器 双极性输入幅度 : 5V, 单极性输入幅度 :5V 10V, 对应输入幅度及精度如下 : Input Range LSB Size FS Range 0-5V 1.22mV 5/ V 2.44mV 10/4095-5V-+5V 2.44mV 10/4095 二路 12 位 D/A, 输出电压 5V 或 10V 12 位分辨率, 精度 :(0-10V:0.2%) 输出驱动能力 : 电流大于 5 毫安 电容驱动能力 :100P 32 路开关量,TTL 电平,16 入 16 出 IDC-40 脚扁平电缆插座输入 输出 开关量输出 : 上电复位后输出为低电平 输入通过 10k 电阻上拉 输出高电压 > 3.5V, 低电压 < 0.4V; 输出下拉电流 > 20mA/ 路, 上拉 >6mA 输入电流 :<0.1mA 输入高电压门限 : 2.5V, 低电压 :<0.8V 2 路 24 位独立加法计数器, 定时时钟为 MHz, 具备测频功能 ( 测方波, 低至 0.2Hz) 1 路 16 位分频器, 可对输入方波信号进行分频输出 2 路 24 位 PWM 脉冲输出, 两种输出方式 : 单次和连续 定时时钟为 MHz,PWM 输出频率范围 (10.46 Hz-1.60MHz) 高性能飓风 II 代 FPGA 总控数字逻辑 技术支持 : 版本 :5.5

4 板载 SW 8 位拨码开关, 高低 4 位分别用于配置 IP 和 MAC 地址,, 支持多卡操作 双色 LED 指示灯, 上电大约 10S 后, 指示灯变红, 此时可以连接板卡 ; 待连接成功后变绿 提供 WIN7/VISTA/2000/XP/98 下驱动程序及动态连接库 四 原理说明 4.1 逻辑框图 AI 0..AI 15 ( 模拟信号输入 ) DI 0.. DI 15 DO 0.. DO 15 DA(0-1) ECLK(0-1)PWM(0-1) 多选开关 数据锁存 运算放大器 运算放大器 DAC ADC 现场可编程门阵列 FPGA ( 内含地址译码 数据锁存 数据缓冲 控制电路 16 位 f N 分频 f/n 分频器 24 位定时器等 ) 8 位拨码 以太网总线芯片 DM9000A HR911105A 逻辑方框图 4.2 工作原理简述 NET-1203 卡采用 DM9000 接口芯片及门阵列作为主控芯片 FPGA 控制模拟输入通道切换 采样 模拟输出及开关量 信号分频 信号测频 PWM 输出 板卡上电启动后 ( 约过 10 秒 ), 双色指示灯从 熄灭 状态变 红, 此时 PC 可以请求连接, 建立连接后变为 绿 ; 当出现下列情况时, 板卡将断开连接, 指示灯变 红,PC 机重新连接即可 1) Socket 中无数据收发时 ( 或传输 AD 数据时 ) 拔除网线几秒后 ; 2) 板卡发送 AD 数据超时 ; 3) PC 机程序崩溃等某些网络错误情况 ; 模拟量 ( 输入通道 0-15) 经电子开关及缓冲放大器进入 A/D, 模拟转换由 FPGA 提供控制信号 同时转换结果存放在 FPGA 中 模拟信号输入范围由两针跳线 JP3 JP4 决定 ( 具体参照 JP3 JP4:AD 输入量程选择章节 ), 可选择模拟信号输入范围为 :0-5V 0-10V 5V AD 的 16 路输入由 J1 插座 IDC-20 芯接入 2 路独立 D/A 输出通道 0 1, 为静态输出, 带锁存功能, 可通过两针跳线 JP1( 控制 DA0) JP2 ( 控制 DA1) 来改变模拟输出量程 ( 具体参照 JP1 JP2:DA 输出量程选择章节 ),DA 输出由 J1 插座 IDC-20 芯引出 32 路开关量 (DIO) 的 16 路输入 (DI0-DI15) 输出 (DO0-DO15) 也由 FPGA 控制 输出上电或复 技术支持 : 版本 :5.5

5 位后为低电平, 输出带锁存功能, 输入为弱上拉 DIO 由 J2 插座 IDC-40 芯输入 输出 2 路 24 位独立加法计数器,( 定时器时钟为 MHz) 通过测量输入信号紧挨的两个上升沿持续的时钟数, 实现测频功能 2 路 24 位独立 PWM 输出, 两种工作模式, 固定脉冲输出方式下, 可以指定输出脉冲个数及脉冲输出频率 占空比等参数 ; 连续输出方式下, 脉冲输出频率和占空比可调, 有中途停止功能 PWM 输出频率范围 (10.46 Hz-1.60MHz) 1 路 16 位分频器, 通过设置分频系数对输入方波信号进行分频输出 五 使用 5.1 JP3 JP4:AD 输入量程选择 两针跳线 JP3 JP4 选择 AD 的输入范围 ( 出厂时, 默认设置 0-5V) 量程 JP3 JP4 0-5V 0-10V -5-5V 注意 : 请勿将 JP3 JP4 同时短接 5.2 A/D 注意事项 (1) 最大输入电压 : 正电压不超过 +12V; 负电压不超过 -5.3V (2) 输入信号最好应用屏蔽电缆接线 (3) 当输入噪音较大时, 应对采样结果进行多次平均的方法处理或硬件滤波 (4) 多余的模拟输入通道接地 ( 接板卡的 AGND) 5.3 JP1 JP2:DA 输出量程选择 量程 JP1 JP2 0-5V 0-10V 说明 :JP1 控制 DA0 输出量程,JP2 控制 DA1 输出量程, 出厂默认 0-5V 5.4 D/A 注意事项 : (1) 连接输出信号时,PC 应处于关机状态 (2) 输出驱动的负载电容小于 100P 如果驱动大电容, 需在输出与负载之间串接一个 欧姆的电阻 5.5 IP 及 MAC 设置 :( 八位拨码开关 SW 设置 ) 下表 : 板卡出厂时将 IP 及 MAC 设置为 X 和 00:43:55:4d:52:6X ; 通过拨码调整 X 的值, 具体设置如 SW5 SW6 SW7 SW8 MAC ON(1) ON(1) ON(1) ON(1) F ON(1) ON(1) ON(1) OFF(0) E ON(1) ON(1) OFF(0) ON(1) D ON(1) ON(1) OFF(0) OFF(0) C ON(1) OFF(0) ON(1) ON(1) B ON(1) OFF(0) ON(1) OFF(0) A ON(1) OFF(0) OFF(0) ON(1) 9 ON(1) OFF(0) OFF(0) OFF(0) 8 技术支持 : 版本 :5.5

6 OFF(0) ON(1) ON(1) ON(1) 7 OFF(0) ON(1) ON(1) OFF(0) 6 OFF(0) ON(1) OFF(0) ON(1) 5 OFF(0) ON(1) OFF(0) OFF(0) 4 OFF(0) OFF(0) ON(1) ON(1) 3 OFF(0) OFF(0) ON(1) OFF(0) 2 OFF(0) OFF(0) OFF(0) ON(1) 1 OFF(0) OFF(0) OFF(0) OFF(0) 0 SW1 SW2 SW3 SW4 IP ON(1) ON(1) ON(1) ON(1) 15 ON(1) ON(1) ON(1) OFF(0) 14 ON(1) ON(1) OFF(0) ON(1) 13 ON(1) ON(1) OFF(0) OFF(0) 12 ON(1) OFF(0) ON(1) ON(1) 11 ON(1) OFF(0) ON(1) OFF(0) 10 ON(1) OFF(0) OFF(0) ON(1) 9 ON(1) OFF(0) OFF(0) OFF(0) 8 OFF(0) ON(1) ON(1) ON(1) 7 OFF(0) ON(1) ON(1) OFF(0) 6 OFF(0) ON(1) OFF(0) ON(1) 5 OFF(0) ON(1) OFF(0) OFF(0) 4 OFF(0) OFF(0) ON(1) ON(1) 3 OFF(0) OFF(0) ON(1) OFF(0) 2 OFF(0) OFF(0) OFF(0) ON(1) 1 OFF(0) OFF(0) OFF(0) OFF(0) 勿用 如果系统使用了两块一样的卡, 可通过设置不同的 IP 及 MAC 来进行区分 注意 :OFF:0,ON:1 5.6 PC 机 IP 设置方法 : 点击桌面的 网上邻居 --- 右键 属性 --- 本地连接 ---- 右键 属性 --- Internet 协议 (TCP/IP) --- 点击 属性 出现下图所示 : 技术支持 : 版本 :5.5

7 将 PC 机 IP 地址设置到和采集卡同一网段, 同时勿用 0 和 255 这两个保留地址, 如下图所示 : 技术支持 : 版本 :5.5

8 5.7 测频原理 测量信号 ( 方波 ) 的相邻两个上升沿之间的间隔 例如 : 软件发测量命令, 用系统时钟 MHz 测量待测信号的两个相邻上升沿的间隔, 间隔用系统时钟数表示, 用户由此可以方便的计算出信号的频率 由于最大间隔数为 24 位表示的数据 ( 最大 0xFFFFFF, 即 ), 最大定时长度为 5.23S ( *0.312 s S) 说明 :START 信号为低, 软件启动一次测频, 测量待测信号两个相邻上升沿的时间宽度 ( 为 5 个 系统时间, 系统时钟 MHz) 图中的 TimerOver 信号,1: 测频未结束 ;0: 测频结束 计算出外部信号的频率 Fre= *10 3 /(XCounter), 单位 KHz 测频有关函数的调用步骤 : 1 调用 NET1203_StartTimer 函数, 启动定时, 即发图中的 START 信号 ; 2 调用 NET1203_TimerIsFinish 函数, 判断定时是否结束, 即图中的 TimerOver 信号 ; 3 如果测频结束, 调用 NET1203_GetCountData 函数, 获取频率, 单位 KHz 能测量的最低信号频率为 : M/ =0.2Hz;( 测量低频的时候, 所花时间较长 ) 从图中能看出, 待测信号的频率越高, 测量误差越大, 为了能够测量高频信号, 可以采用先分频, 再测频的方式 本卡自带一路分频器 硬件的连接方法 :( 支持两路测频 ) 将外部待测信号, 从 ECLK0 或 ECLK1 接入, 信号地接 GND ( 引脚定义详细参照数字量输入输出 脉冲输入 脉冲输出引脚定义章节 ) 5.8 PWM 输出原理工作模式 : 模式 0:PWM 模式 方波输出 用户可以定义输出方波的周期及占空比 模式 1: 固定脉冲模式 用户可以定义输出方波个数 周期及占空比 方波输出 : 用户通过 long WINAPI NET1203_PWMInit(SOCKET sockclient,dword pdata0,dword pdata1,dword pdata2,byte pwmch) 函数, 初始化 PWM 配置, 调用启动函数 NET1203_StartPWMContinue( 也可用此函 技术支持 : 版本 :5.5

9 数终止方波输出 ), 板卡开始连续输出方波 方波的高电平宽度由 pdata0 控制, 方波的低电平宽度由 pdata1 控制, 在连续输出模式下 pdata2 参数无效 提示 : 1 方波输出的频率 = MHz / (pdata0+pdata1) 2 如果 pdata0:pdata1=1:1 则输出标准方波 NET-1203 的方波输出频率范围为 :10.46 Hz-1.60MHz 输出示意图 : 输出波形 宽度 =pdata0*0.312us 重复周期 =(pdata0+pdata1)*0.312us 连续输出时序图 : PWM 输出可以应用于 : 1 方波输出 2 灯光或电机控制, 通过输出固定频率的信号 (pdata0 固定 ), 并设置占空比 ( 调节 pdata1) 来控制亮度或转速 3 电压信号的远程传输, 因为数字信号通过隔离或差分发送器 (RS485 或 422 发送器 ) 可以传输很远, 可以通过固定周期而调节脉冲的宽度来表示电压信号的幅度, 最大分辨率可以到 24 位 固定个数脉冲输出 : 用户通过 long WINAPI NET1203_PWMInit(SOCKET sockclient,dword pdata0,dword pdata1,dword pdata2,byte pwmch) 函数, 初始化 PWM 配置, 调用启动函数 NET1203_StartPWMSingle 在内部时钟 ( 频 技术支持 : 版本 :5.5

10 率为 MHz, 周期 T = S) 的第一个上升边沿输出由 0 变为 1, 直到 pdata0 个脉冲后, 输出 变为 0 Pdata0 为用户设置的 24 位数据, 范围 1-FFFFFFH 输出脉冲的高电平时间宽度为 : pdata0*0.312 S pdata1 决定低电平时间宽度,Pdata1 为用户设置的 24 位数据, 范围 1-FFFFFFH 输出 脉冲的低电平时间宽度为 :pdata1*0.312 S 输出固定个数由参数 Pdata2 指定 以输出单个脉冲为例 : ( 输出多个脉冲的请参照连续输出, 和连续输出的区别在于达到设定的脉冲个数后, 自动停止脉冲输出 ) 输出脉冲 内部 MHz 时钟 N=pdata0 N=0 W W=pdata0 * S 注 :N: 内部计数器数值 固定个数输出时序图 : 固定脉冲输出可以应用于 : 1 控制电磁阀门 快门的一次性开启时间 2 输出单脉冲 3 输出触发信号 4 输出固定个数脉冲, 实现精确控制 技术支持 : 版本 :5.5

11 六 引脚定义 6.1 模拟输入输出引脚定义 J 1 :IDC-20 芯 ( 模拟输入 输出 ) 插头, 在输入的插头上标有对应的号码 信号定义如下 : 插座引脚号 信号定义 插座引脚号 信号定义 1 AIN 0 2 AIN 1 3 AIN 2 4 AIN 3 5 AIN 4 6 AIN 5 7 AIN 6 8 AIN 7 9 AIN 8 10 AIN 9 11 AIN AIN AIN AIN AIN AIN DA 0 18 DA 1 19 AGND 20 AGND AIN 0 -AIN 15 对应 16 路 A/D 输入通道 :0-15 DA 0 DA 1 : 对应 D/A 输出通道 脚 :AGND 技术支持 : 版本 :5.5

12 6.2 数字量输入输出 脉冲输入 脉冲输出引脚定义 J 2 :IDC-40( 数字量输入输出 脉冲输入 脉冲输出 ) 插头, 在输入的插头上标有对应的号码 信号定义 如下 : 插座引脚号信号定义插座引脚号信号定义 1 DI 0 2 DI 1 3 DI 2 4 DI 3 5 DI 4 6 DI 5 7 DI 6 8 DI 7 9 DI 8 10 DI 9 11 DI DI DI DI DI DI ECLK 0 18 SIG_IN 19 ECLK 1 20 SIG_OUT 21 PWM 0 22 PWM 1 23 DO 0 24 DO 1 25 DO 2 26 DO 3 27 DO 4 28 DO 5 29 DO 6 30 DO 7 31 DO 8 32 DO 9 33 DO DO DO DO DO DO GND 40 GND DI 0 -DI 15 : 开关量输入通道 0-15;DO 0 -DO 15 : 开关量输出 0-15;ECLK 0 ECLK 1 为外部脉冲测频输入端 ; SIG_IN SIG_OUT 分别为分频输入及输出 ;PWM 0 PWM 1 为 PWM 输出通道 0 1; 脚 :39,40:GND 说明 : 由于本卡为非光电隔离卡, 模拟地 (AGND) 与数字地 (GND) 通过一个 0 的电阻接在一起 七 电位器功能与输出码制对应关系 7.1 四个电位器 RW1 RW2 RW3 RW4, 功能 : 调整 A/D RW1: 单极性 5V,10V 输入零点调节 RW2: 双极性 5V 输入零点调节 RW3: 双极性 5V 输入零点辅助调节 RW4: 增益调节 5V 输入 : 调整 RW1, RW4 使读数正常, 步骤如下 : 1) 设置输入为 0-5V, 输入为 0V, 即信号与地线短接, 这时采的数据若不为 0V, 则调整 RW1 注 意采集的零点必须在 0 与 V 之间跳变 技术支持 : 版本 :5.5

13 2) 设置输入为 4-5V, 调整 RW4 使读数正常 10V 输入 : 调整 RW1, RW4 使读数正常, 步骤如下 : 1) 设置输入为 0-10V, 输入为 0V, 即信号与地线短接, 这时采的数据若不为 0V, 则调整 RW1 注意采集的零点必须在 0 与 V 之间跳变 2) 设置输入为 9-10V, 调整 RW4 使读数正常 5V 输入 : 输入为零时, 调整 RW2 RW3 使读数为 0V 左右 RW4 调增益 注 : 采集卡出厂已按 0-5V 校准, 如果改变了采集卡的输入量程 ( 通过改变 JP3 JP4, 详细参照模拟输入量程设置章节 ), 需重新进行校准 7.2 两个电位器 RW5 RW6 功能 : 调整 D/A RW5 RW6: 分别校准 DA 通道 0 1 的增益 注 : 采集卡出厂已按 0-5V 校准, 如果改变了采集卡的输出量程 ( 通过改变 JP1 JP2, 详细参照模拟输出量程设置章节 ), 需重新进行校准 7.3 输出码制对应关系 模拟量输入的数据格式 0-5V 量程 :(1LSB = 1.22mV) 输入 AD 原始码 ( 二进制 ) AD 原始码 ( 十六进制 ) AD 原始码 ( 十进制 ) 5V FFF V - 1LSB FFE V AGND + 1LSB AGND V 量程 :(1LSB = 2.44mV) 输入 AD 原始码 ( 二进制 ) AD 原始码 ( 十六进制 ) AD 原始码 ( 十进制 ) 10V FFF V - 1LSB FFE V AGND + 1LSB AGND V 量程 :(1LSB = 2.44mV) 输入 AD 原始码 ( 二进制 ) AD 原始码 ( 十六进制 ) AD 原始码 ( 十进制 ) +5V FFF V - 1LSB FFE 4094 AGND + 1LSB AGND AGND - 1LSB FF V + 1LSB V 码制 当本卡在单极性方式工作时, 转换后的 12 位数据为二进制原码 如量程为 0~10V 时, 其数据与模拟 电压值的对应关系为 : 技术支持 : 版本 :5.5

14 模拟电压值 = 数据 (12 位 ) 10(V)/4095(V) 此时 1LSB=10V/4096=2.44mV 如量程为 0~5V 时, 其数据与模拟电压值的对应关系为 : 模拟电压值 = 数据 (12 位 ) 5(V)/4095(V) 此时 1LSB=5V/4096=1.22mV 当本卡在双极性方式工作时, 转换后的 12 位数码为二进制偏移码 此时 12 位数据的最高位 (DB 11 ) 为符号位, 0 表示负, 1 表示正 偏移码与补码仅在符号位上定义不同, 如量程为 -5~+5V 时, 此时数据与模拟电压值的对应关系为 : 模拟电压值 = 数据 (12 位 ) 10(V)/4095-5(V) 即 :1LSB=10V/4096=2.44mV 八 常用信号的连接 处理 8.1 模拟输入低通滤波 : R1 1 2 输入 R 1 2 C1 C A/D 输入 -6dB 截止频率 = 1/(2*3.14*R 1 *C 1 ) (Hz), 通常 :R 1 小于 10K 欧姆 8.2 模式的电流 / 电压变换 : R1 1 2 输入 1 2 R2 R R 1 2 C1 C A/D 输入 R 1 C 1 构成低通滤波 R 2 为取样电阻,( 通常取值为 :100 欧姆 250 欧姆 500 欧姆 ), 对应 4-20 毫安 输入的输出电压 :V=I * R 2 (I: 输入电流 ) 8.3 外部模拟输入信号的接法 : 技术支持 : 版本 :5.5

15 ( 模拟输入引脚定义参照模拟输入输出引脚定义章节 ) AIN0 + AIN1 + 信 号 输 AIN15 入 连 + 接 器 AGND 8.4 数字量输出接法 : ( 数字量输出引脚定义参照数字量输入输出 脉冲输入 脉冲输出引脚定义章节 ) 由于输出是 TTL 输出, 驱动能力有限, 不能直接驱动继电器或电磁阀, 如需驱动继电器, 请购买我公 司配套的继电器板 (P800 PCLD885 等 ) 或按以下电路 : VCC IN K? 3 dout 2k RELAY SPST 九 软件 NET-1203 的软件包括 NET-1203 驱动程序, 动态链接库及调用例程 9.1 测试程序提供测试程序为 VB,VC 编写, 可对 NET-1203 卡的所有功能进行测试 用户可参照例程自行编程 9.2 函数调用说明提供动态链接库作为调用接口, 它所封装的函数可以在应用程序运行时调用 任意一种可以调用 DLL 链接库的编程工具均可进行编程 下列函数为 DLL 函数原型, 请注意数据格式的匹配及函数的返回类型 DLL 链接库中函数复杂且多, 现仅取库中的用户级函数予以说明 ( 函数调用约定为 WINAPI) 查询错误信息函数 :void WINAPI NET1203_GetErrorMessage(LRESULT lerror, TCHAR* lpmsg); 功能 : 查询错误信息 ; lerror: 当前错误号 如果错误号为 0 表示无错误 ; 参数 :lpmsg, 返回当前错误号所代表的含义返回值 : 错误代码, 错误代码含义请看宏定义 ; 打开设备 技术支持 : 版本 :5.5

16 函数 :long WINAPI NET1203_OpenDevice(BYTE card_ip,socket *sockclient); 功能 : 打开设备参数 :card_id, 由板上拨码开关 IP 拨码设置,1-15, 用于记录板卡的 IP 地址 ; sockclient, 获取的设备句柄 ; 如果已经打开设备, 则不能再次打开该设备 ; 以免改变设备内部链接设置 ; 关闭设备函数 :long WINAPI NET1203_CloseDevice(SOCKET sockclient) 功能 : 关闭设备参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 读取 FIFO 中 AD 数据函数 : long WINAPI NET1203_ReadAD(SOCKET sockclient,long readnum,byte *buffer,long * returnsize) 功能 : 读取 FIFO 中 AD 数据 ; 与系统函数 RECV 作用相同 ; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 readnum, 读取数据量大小为 % ( 通道数 *2) + 3; buffer, 存储数据的空间, 大小为 % ( 通道数 *2) + 3; 数据从 buffer[3] 开始 ;buffer[1] 存取 FIFO 状态, 格式如下 : BIT7 BIT6 BIT5 BIT4-BIT0 空 满 半满 随机 BIT5 为 1,FIFO 半满 ; 否则,FIFO 非半满 BIT6: 为 1,FIFO 满 ; 否则,FIFO 非满 BIT7: 为 1,FIFO 空 ; 否则,FIFO 非空 returnsize, 实际取回的字节数 ; FIFO 最大一次读取 16K 字节,8000 个采样点 设置读取字节数函数 :long WINAPI NET1203_SetReadNum(SOCKET sockclient,int Num) 功能 : 设置每次读取 AD 字节总数 ; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 Num, 读取数据量大小为 % ( 通道数 *2) + 3; 设置 AD 采集参数函数 :long WINAPI NET1203_SetADConfig(SOCKET sockclient,int CHStar,int CHNum, float Fre) 功能 : 设置 AD 采集参数 ; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 CHStar, 起始通道 ; CHNum, 通道数 ; Fre, 采集频率 (1 200)KHz 清 FIFO 函数 long WINAPI NET1203_ClearFIFO(SOCKET sockclient) 功能 : 清 FIFO; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 技术支持 : 版本 :5.5

17 启动 AD 函数 :long WINAPI NET1203_StarAD(SOCKET sockclient); 功能 : 启动 AD; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 停止 AD 函数 :long WINAPI NET1203_StopAD(SOCKET sockclient) 功能 : 停止 AD; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 计算 AD 电压函数 :double WINAPI NET1203_VAD(BYTE ADRange,int data) 功能 : 将数值转换为电压 ; 参数 :ADRange,0-2, 分别对应 0-5V,0-10V,-5-5V ADRange = 0; 电压 = data * 5.0 / ; ADRange = 1; 电压 = data * 10.0 / ; ADRange = 2; 电压 = data * 10.0 / ; data, 由 NET1203_ReadAD 得到的数据 ; 返回值 : 电压值 DA 输出函数 : long WINAPI NET1203_VDA(SOCKET sockclient,byte DARange,float vda,byte dach) 功能 : 启动 DA 转换输出电压值参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 DARange,0-1, 分别对应 0-5V,0-10V vda, 想输出的电压值, 在 0-5V 量程时, 范围为 0-5V; 在 0-10V 量程时, 范围为 0-10V dach,da 通道号,0-1 PWM 初始化设置函数 : long WINAPI NET1203_PWMInit(SOCKET sockclient,dword pdata0,dword pdata1,dword pdata2,byte pwmch); 功能 :PWM 初始化, 设置输出周期和占空比参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 pdata0, 连续输出方式下 pdata0 表示高电平持续时钟数,pdata0 = 每个周期持续时钟数 占空比 ; pdata1, 连续输出方式下,pdata1 表示低电平持续时钟数,pdata1 = 每个周期持续时钟数 - pdata0;(pdata0 + pdata1) = MHz 输出频率 (10.46 Hz-1.60MHz); 表示每个周期持续时钟数 ; pdata2, 固定个数输出模式下有效, 输出的脉冲个数 ; pwmch,pwm 通道号,0-1; 启动 PWM 固定脉冲个数输出函数 :long WINAPI NET1203_StartPWMSingle(SOCKET sockclient,byte pwmch) 功能 : 启动 PWM 固定脉冲个数输出 ( 调用 NET1203_PWMInit 初始化后 ) 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 pwmch,pwm 通道号,0-1; 技术支持 : 版本 :5.5

18 PWM 连续输出函数 :long WINAPI NET1203_StartPWMContinue(SOCKET sockclient,byte oute,byte pwmch) 功能 : 控制 PWM 连续输出 ( 调用 NET1203_PWMInit 初始化后 ) 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 oute,1: 启动 PWM 连续输出,0: 停止 PWM 输出 pwmch,pwm 通道号,0-1; 复位分频器函数 long WINAPI NET1203_ClearCount(SOCKET sockclient) 功能 : 复位分频器参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 读当前计数器的值函数 :long WINAPI NET1203_GetCountData(SOCKET sockclient,byte countch,float * Fre) 功能 : 读当前计数器的值参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 countch, 计数器通道号,0-1; Fre, 计数器测得的频率 (KHz), 最低 0.2Hz; 设置分频系数函数 :long WINAPI NET1203_SetFreCount(SOCKET sockclient,dword data) 功能 : 写分频系数参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 data, 分频系数 (0x1-0xFFFF) 启动定时器测频函数 :long WINAPI NET1203_StartTimer(SOCKET sockclient,byte timerch) 功能 : 启动定时器用于测频参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 timerch, 定时器通道号,0-1; 读取定时器状态函数 :long WINAPI NET1203_TimerIsFinish(SOCKET sockclient,byte timerch,byte *Finish) 功能 : 读取测频定时器是否完成 ; 参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 timerch, 定时器通道号,0-1; Finish, 则返回定时器状态,0: 完成,1: 未完成, 调用失败, 返回 255 DI 函数 :long WINAPI NET1203_DIALL(SOCKET sockclient,word * data); 功能 : 获取十六位数字量输入参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 data, 返回十六位数字量输入值 ( ), 调用失败, 返回 技术支持 : 版本 :5.5

19 DO 函数 :long WINAPI NET1203_DOALL(SOCKET sockclient,word dodata) 功能 : 控制十六位数字量输出参数 :sockclient, 设备操作句柄, 由 NET1203_OpenDevice 函数返回 dodata, 要输出的十六位数字量值 ( ) 函数调用注意事项 调用函数的正确顺序为 : 1 加载动态链接库 (LoadLibrary 函数 ) 2 调用 NET1203_OpenDevice 函数, 获取板卡句柄,NET1203_OpenDevice 函数如果返回 NULL, 则跳到步骤 5 执行 3 调用 AD,DA,DIO, 计数,PWM 等函数, 对硬件进行访问 4 调用 NET1203_CloseDevice 函数关闭设备 5 释放驱动 动态链接库 (FreeLibrary 函数 ) 9.3 DLL 函数全部是 WINAPI 调用约定的, 即 _stdcall 接口 在使用各种编程语言时应注意选择, Visual C++/C++ Builder/Delphi 可以使用两种类型的调用约定 要在函数定义中明确指出 _stdcall 还是 _cdecl; Visual Basic/PowerBuilder 等语言 应该使用 WINAPI 调用接口 9.4 关于 NET1203.dll 位置的说明 用户机器搜索动态链接库 (NET1203.dll) 的顺序为 : 程序的执行目录 当前目录 系统目录 ( 依次是 :%windir%\system32, %windir%\system, %windir%), %windir% 代表系统目录 Path 环境变量所列出的路径 所以可以把动态链接库放置在加载模块将要搜索的目录中的任一目录下 例子程序将 NET1203.dll 放在程序的执 行目录 以便快速找到 9.5 驱动文件文件名 文件类型及功能 适用的操作系统 NET1203.Dll 底层驱动程序库的用户级函数接口 所有操作系统 封装所用的动态库 NET1203.Lib 基于 Microsoft Visual C++ 工程开发 所有操作系统 环境的驱动程序函数接口输入库 NET_1203.h 基于 Microsoft Visual C++ 工程开发 所有操作系统 环境的函数调用头文件 PcMod.Bas 基于 Microsoft Visual Basic 工程开发环境的驱动程序函数接口输入模块文件 所有操作系统 十 编程指导 10.1 VC 程序编程说明编程前, 将 NET1203.lib,NET_1203.h 拷贝到用户当前目录中 VC 编程的基本流程 : 方式一 : 隐式链接 1 利用隐式链接动态链接库函数 NET1203.lib,NET_1203.h 文件必须在当前工作目录中 方法, 程序的 技术支持 : 版本 :5.5

20 开始处加入如下语句 : #pragma comment(lib, NET1203.lib ) #include NET_1203.h 2 利用 NET1203_OpenDevice 函数获得板卡的操作句柄 ; 3 在退出程序时必须执行如下操作 : 利用 NET1203_CloseDevice 函数关闭句柄 方式二 : 显式加载 1 调用 LoadLibary 函数加载 NET1203.dll; 2 调用 GetProcAddress 函数, 获取 NET1203.dll 导出函数的地址 ; 3 注意强制类型转换 4 利用函数指针变量 两种方式各有优点, 请客户按照实际情况自行取舍 ( 更多知识请参照 MSDN 或专业书籍 ) NET1203 的 VC 目录下例子是隐式加载动态库 在编程时必须注意, 硬件操作句柄 hplx 必须为全局变量或必须传递给有相应硬件操作的函数 硬件句 柄只要在程序启动时打开一次即可, 不需要每次打开或关闭 10.2 VB 程序编程说明编程前, 请将 NET1203.dll 动态链接库拷贝到用户工程所在的目录或 windows 系统的 system32 目录中 ( 注 : 如果用户是 Window xp/2000 系统, 请将 NET1203.dll 动态链接库拷贝到 system32 目录下 ; 如果用户是 Windos98 系统, 请将 NET1203.dll 动态链接库拷贝到 system 目录下 ) VB 编程的基本流程 : 1 在工程菜单中选择添加模块, 将 PcMod.bas 模块添加进来 ( 该模块在光盘中 \NET1203\vb 目录中, 应用时将文件拷贝到当前工作目录 ), 此文件为所有函数的声明文件 2 在模块中定义一个硬件操作句柄 hplx, 为一个 long 属性的全局变量, 这样可以被用户程序中的所有 form 调用 3 利用 NET1203_OpenDevice 函数获得板卡的操作句柄 在退出程序时必须执行如下操作 : 利用 NET1203_closedevice 函数关闭句柄 注 :PcMod.bas 模块已经包含了所有必要的 NET1203 函数的声明语句 有关用户其他方面的应用请参考光盘中的例程 注 :VB 中如果设备操作句柄不等于 0 为有效句柄 10.3 LabVIEW 程序编程说明本公司生产的所有采集卡的相关接口函数, 均以动态链接库的形式提供给用户 在使用 LabVIEW 对本公司采集卡进行开发时, 只需通过 LabVIEW 中的 Call Library Function Node 节点来调用我们所提供的动态链接库函数即可对硬件进行相关操作 详见光盘中的 LabVIEW 例程或参照 LabVIEW 相关教程 10.4 LabWindows/CVI 编程说明 技术支持 : 版本 :5.5

21 在客户程序的最前面包含 windows.h, 同时把 NET_1203.H,NET1203.lib 引入即可 10.5 Delphi 程序编程说明在 Delphi 中调用动态链接库的方式分为静态调用和动态调用 编程前, 请将 NET1203.dll 动态链接库拷贝到用户项目所在的目录或 windows 系统的 system32 目录中 ( 注 : 如果用户是 Window xp/2000 系统, 请将 NET1203.dll 动态链接库拷贝到 system32 目录下 ; 如果用户是 Windos98 系统, 请将 NET1203.dll 动态链接库拷贝到 system 目录下 ) Delphi 编程的基本流程 : 1. 在.pas 文件中的 implementation 处声明动态连接库中的函数 2. 定义一个硬件操作句柄, 为一个 ulong 属性的全局变量 3. 利用 NET1203_opendevice 函数获得板卡的操作句柄 在退出程序时必须执行如下操作 : 利用 NET1203_closedevice 函数关闭句柄 注 :Delphi 中如果设备操作句柄不等于 $0 为有效句柄 有关用户其他方面的应用请参考相关书籍 十一 维修服务 11.1 产品完整性 NET1203 产品应包括以下内容, 请检查其完整性 1 NET-1203 卡一块 ( 贴有出厂日期 ); 2 IDC20 IDC40 扁平线各一条 ; 3 P500 或 IDC40 接线端子板 ;( 需单独购买 ) 4 软件光盘一张 ( 含驱动软件及说明书 ) 11.2 维修 本产品自售出之日起两年内, 凡用户正确使用下, 出现产品质量问题的, 免费维修 ( 出厂日期的贴 条撕毁无效 ) 因违反操作规定和要求而造成损坏的, 需缴纳器件费和维修费及相应的运输费用, 如果板卡 有明显烧毁 烧糊情况原则上不予维修 如果板卡开箱测试有问题, 可以免费更换 ( 限购买板卡 10 天内 ) 11.3 服务当您购买 NET-1203 之后, 软 硬件及其它技术上使用问题均可通过电话或 与我们联系, 我们将提供令您满意的服务 十二 附录 : 配套端子板 : 1 P-500 通用端子板, 适用全部 37 芯接口采集卡, 附带 37 芯电缆线 ; 板上带 IDC40 接口, 适用 40 芯 IDC 接口的采集卡, 可选配 40 芯扁平线缆 ; 两个接头, 可二选一使用 2 PCLD-880 用两个 20 芯电缆插头或 1 个 37 芯 D 型插头的螺钉端子板面包板可用于断路检测 低通滤波 电流电压转换和电压衰减尺寸 :221mm X 115mm 输出接口工业螺丝端子 用户根据自己喜好, 购买任意一种, 免费赠送线缆 技术支持 : 版本 :5.5

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当 XC1612P 用户使用手册 版权声明 : 本手册由北京新超仁达科技有限公司提供, 任何单位 个人不得转载 修改该文档的样式和内容, 否则将追究法律责任 版权归北京新超仁达科技有限公司 一 概述 XC1612P 是一款高性能的多功能板, 由北京新超仁达科技有限公司精心设计 采用 PC104 Plus 总线无需地址跳线 适合中高速 同步 高精度等场合的测量应用 XC1612P 具有 12 路 16 位模拟输入

More information

PCI-2410,八通道同步卡

PCI-2410,八通道同步卡 PCI-2410 声音震动采集卡 8 路同步 24 位 AD,2 路 PWM,2 路测频,2 路计数 ( 或分频 ),16 路 DI/DO 用户手册 北京新超仁达科技有限公司 2013.01 版权所有 (C) 北京新超仁达科技有限公司 2013 在无北京新超仁达科技有限公司优先书面授权书前提下, 此出版物任何一个部分不可通过任何形式进行复制 修改和翻译 对于非法复制 修改和翻译商业行为, 将根据国家

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

K-846使用说明书

K-846使用说明书 K-846 光隔开关量输出接口卡使用说明书 (Ver 2.1 2008.09.02) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

第一部分 绪论

第一部分 绪论 KPCI-1812 数据采集卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech Support

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

产品硬件使用说明书

产品硬件使用说明书 PCI8103 高速任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 DA 任意波形输出功能...2 第四节 DI/DO 数字量输入 / 输出功能...2 第五节 其他指标...3 第六节 产品安装核对表...3 第七节 安装指导...3

More information

bingdian001.com

bingdian001.com 1. DLL(Dynamic Linkable Library) DLL ± lib EXE DLL DLL EXE EXE ± EXE DLL 1 DLL DLL DLL Windows DLL Windows API Visual Basic Visual C++ Delphi 2 Windows system32 kernel32.dll user32.dll gdi32.dll windows

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

数据采集编程指南 下篇 ni.com/china/daq

数据采集编程指南 下篇                                                                                    ni.com/china/daq 数 据 采 集 编 程 指 南 下 篇 目 录 数 据 存 储 与 文 件 I/O 1-8 同 步 ( 上 ) 9-13 同 步 ( 下 ) 14-21 特 别 篇 : 模 块 化 仪 器 22-26 数 据 存 储 与 文 件 I/O 简 介 本 期 内 容 将 介 绍 如 何 使 用 NI 数 据 采 集 板 卡 来 实 现 数 据 的 存 储 和 文 件 I/O 操 作 在 一 个 典 型 的

More information

  K-845 开关量输入输出卡技术说明书

  K-845 开关量输入输出卡技术说明书 K-845 光隔开关量输入输出接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

KPCI KPCI-815 TEL: Fax:

KPCI KPCI-815 TEL: Fax: KPCI-815 1 KPCI-815 Ver 2.0 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 2 1 2 KPCI-815 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 3 KPCI-815 KPCI-815 PCI

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

行业

行业 PCI-1713 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4...2 1.2...2 1.3...3 1.4...3 2.1...4 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 3.1...13 3.1.1...13 3.1.2...14 3.2...15 4.1 4.2...16 4.2.1 ADSOFT/ADTRIG...16

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

产品硬件使用说明书

产品硬件使用说明书 PCI8002A 同步高速数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 AD 模拟量输入功能...2 第四节 DI 数字量输入功能...3 第五节 DO 数字量输出功能...3 第六节 其他指标...3 第二章元件布局图及简要说明...4

More information

K-848使用说明书

K-848使用说明书 K-848 光隔开关量输入输出接口卡使用说明书 (Ver 2.1 2008.09.02) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

KPCI KPCI-815

KPCI KPCI-815 KPCI-815 1 KPCI-815 Ver 2.0 KPCI-815 2 1 2 KPCI-815 KPCI-815 3 KPCI-815 KPCI-815 PCI 8 KPCI-815 I/O 37 D L H L 12 H 16 2.1 PCI 32 33MHz 132MBS 2.2 32 / 16 0V~5V0V~10V*5V10V 10M 100K A/D A/D / 0.2%FRS 2.3

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

PCI2003硬件说明书

PCI2003硬件说明书 ART 数字量输入输出卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录目录... 第一章功能概述... 第一节 产品应用... 第二节 DIO 数字量输入 / 输出功能... 第三节 产品安装核对表... 第四节 安装指导... 一 软件安装指导... 二 硬件安装指导... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

行业

行业 PCI-1751 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...3 2.2.3 JP4...4 2.2.4...4 2.3...5 2.3.1...6 2.3.2...7 2.4...12 2.4.1...13 2.4.2...13 2.4.3...14 3.1...16 3.1.1 /...16 3.1.2 /...17

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

USB2813数据采集卡

USB2813数据采集卡 ART2750 任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 DA 任意波形输出功能...2 第三节 其它硬件指标...2 第四节 板卡尺寸...2 第五节 产品安装核对表...3 第六节 安装指导...3 一 软件安装指导...3 二 硬件安装指导...3 第二章元件布局图...4

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

行业

行业 PCI-1753/1753E 1.1...2 1.1.1...2 1.1.3...2 1.1.4...2 1.1.5...2 1.1.6...3 1.1.7 PCI-1753/1753E...3 1.2...3 1.3...3 1.4...4 2.1...4 2.2...4 2.2.1...4 2.2.2...5 2.2.3 JP1...5 2.3...5 2.3.1...6 2.3.2...7 2.4...12

More information

ISA-9620用户手册.PDF

ISA-9620用户手册.PDF ISA-9620 CAN v1.0 2004 01 08-1 - -------------------------------------------------------1 -------------------------------------------------------1 -------------------------------------------------------1

More information

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD E20001-K5690- C100-V2-5D00 134

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD E20001-K5690- C100-V2-5D00 134 D11.1 2006.01 sinamics G110 1AC200V240V 0.12 kw3 kw MICROMASTER DA51-2 MICROMASTER 410/420/430/440 0.12KW250KW E20001-K4260-C100-V5-5D00 122-J903448-050320 CA01 SD CA01 SD E20001-K5690- C100-V2-5D00 134-C903473-080310

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

标题

标题 . 4 2013 年 湖 南 省 财 政 厅 电 子 政 务 发 展 形 势 分 析 及 2014 年 发 展 展 望 湖 南 省 财 政 厅 2013 年, 省 财 政 厅 高 度 重 视 电 子 政 务 工 作, 将 电 子 政 务 作 为 优 化 工 作 流 程 提 高 工 作 效 率 提 升 服 务 水 平 建 设 透 明 廉 洁 财 政 的 重 要 途 径, 信 息 化 水 平 不 断 提

More information

一、

一、 网 上 交 易 客 户 端 操 作 文 档 证 券 2014 年 免 责 申 明 因 客 户 端 软 件 升 级, 对 应 帮 助 文 件 中 的 图 片 及 文 字 可 能 存 在 未 同 步 更 新 的 情 况, 由 此 产 生 的 损 失 我 们 将 不 负 任 何 责 任, 请 大 家 以 最 新 版 本 的 客 户 端 软 件 为 准 索 引 一 委 托 功 能 区 说 明...1 二 委

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

(HMI) IO A

(HMI) IO A 6.5 6.5 (HMI) IO 6.52 6.52 6.5 2007 113 A 602 100086 010 82616619 010 62638166 www.kingview.com 4 7 25 38 43 52 63 68 86 SQL 95 99 WEB 105 Web Web Web I/O Microsoft Windows XP/NT/2000 I/O PLC PLC PLC PLC

More information

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司

接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 北京锐志天宏科技股份有限公司 北京 锐志天宏科技股份有限公司 雕刻机运动控制系统 A18- 四轴机械雕刻接线手册 接口板示意图 (50 针朝上 ) JK0801-A 接口板使用说明 北京锐志天宏科技股份有限公司 接口板 I/O 口说明 : 端口端口标号定义 J10 电源 J 2 J 3 J 4 输 入 端 口 X 轴 脉 冲 输 出 端 口 Y 轴 脉 冲 输 出 端 口 Z 轴 脉 冲 输 出 端

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

产品说明书

产品说明书 » RK VX3464 3U VPX IO 通讯板 «使用说明书 RK VX3464 3U VPX IO 通讯板 - 1 - 目录 1. 概述... - 3-2. 主要技术指标... - 3-2.1 功能指标... - 3-2.2 使用环境条件... - 5-2.2.1 储存温度... - 5-2.2.2 工作温度... - 5-3. 工作原理... - 5-4. 寄存器使用说明... - 5-4.1

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5 D11.1 2006.01 sinamics G110 SINAMICS G110 1AC200V240V 0.12 kw3 kw MICROMASTER DA51-2 MICROMASTER 410/420/430/440 0.12KW250KW E20001-K4260-C100-V5-5D00 122-J903448-050320 CA01 SD CA01 SD CD M17 E20001-K5690-

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

《计算机导论》实验教学大纲

《计算机导论》实验教学大纲 计 算 机 科 学 与 技 术 专 业 实 验 教 学 大 纲 福 建 师 范 大 学 数 学 与 计 算 机 科 学 学 院 2012 年 6 月 目 录 1. 计 算 机 导 论 教 学 大 纲... 3 2. 高 级 语 言 程 序 设 计 教 学 大 纲...5 3. 数 据 结 构 教 学 大 纲... 8 4. 算 法 设 计 与 分 析 ( 计 本 ) 教 学 大 纲...10 5.

More information

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外 USB-2000 系列多功能同步数据采集卡 用户手册 Rev: E 北京思迈科华技术有限公司 www.smacq.com www.smacq.cn 目录 1. 产品介绍... 4 1.1. 概述... 4 1.2. 功能结构框图... 5 1.3. 产品特性... 5 1.4. 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求...

More information

AC6613L

AC6613L 说明 AC6613L 使用手册 PCI 总线 AD 采集板 32 路 12 位 100KHz AD 工作模式 : 软件查询 定时器采集开关量 :16DI/16DO 两路 24 位计数器两路 16 位脉冲发生器 wwlab 2014/05 在开始使用前请仔细阅读下面说明 检查 打开包装请查验如下 : AC6613L 采集卡 手册及光盘 DB37 插头 40 线电缆各一套 安装 关掉 PC 机电源, 将

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

idrn/idrx U U RTD U 1800 Vdc U idrn 0 ~ 10 Vdc 4 ~ 20 ma 0 ~ 20 ma U idrx RS-485 ASCII MODBUS U U idrn id id id 35mm DIN 10 ~ 32 DC 24 Vdc 850 ma 1800

idrn/idrx U U RTD U 1800 Vdc U idrn 0 ~ 10 Vdc 4 ~ 20 ma 0 ~ 20 ma U idrx RS-485 ASCII MODBUS U U idrn id id id 35mm DIN 10 ~ 32 DC 24 Vdc 850 ma 1800 idrn/idrx U U RTD U 1800 Vdc U idrn 0 ~ 10 Vdc 4 ~ 20 ma 0 ~ 20 ma U idrx RS-485 ASCIIMODBUS U U idrn id id id 35mm DIN10 ~ 32 DC 24 Vdc 850 ma 1800 Vdc id(7) RTD id RTD id id idrn idrx RS-485iD idrn idrn

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

untitled

untitled XP248 1 XP248 XP248 DCS PLC SCnet SCnet DCS SCnet DCS 1.1 XP248 Modbus HostLink Modbus XP248 4 DB25 XP248 MODBUS XP248 SCControl XP248 4 RS232 RS485 4 32 XP248 COM0-COM1 COM2-COM3 1200 19200bit/s 5 8 1

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 用 户 手 册 版 本 :V1.5 2012-04-19 购 买 链 接 :http://armfly.taobao.com 第 1 页 共 87 页 感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器,

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

Data Server_new_.doc

Data Server_new_.doc 0i B/C Data Server Windows 2000 Window XP Windows XP FTP FANUC Data Server FTP liwei@beijing-fanuc 1 06-10-8 Content 1. /...3 1.1...3 1.2...3 1.3 CNC...3 2....5 2.1 STORAGE...5 2.2 FTP...6 2.3 BUFFER...7

More information

ART2030 SSI数据输出卡

ART2030 SSI数据输出卡 SSI-5S 分布式 SSI 接口卡 硬件使用说明书 产品研发部修订 目录 目录... 第一章功能概述... 第一节 产品应用... 第二节 主要指标... 第三节 板卡外形尺寸... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明... 第三章信号输入输出连接器和跳线器...4 第一节 SSI 信号采集输入连接器定义...4 第二节 SSI 信号仿真输出连接器定义...5

More information

<4D6963726F736F667420576F7264202D20B9D8D3DAD7F6BAC332303135C4EAB3F5D6D0B1CFD2B5C9FAD1A7D2B5BFBCCAD4D3EBB8DFD6D0BDD7B6CED1A7D0A3D5D0C9FAB1A8C3FBB9A4D7F7B5C4CDA8D6AA2E646F63>

<4D6963726F736F667420576F7264202D20B9D8D3DAD7F6BAC332303135C4EAB3F5D6D0B1CFD2B5C9FAD1A7D2B5BFBCCAD4D3EBB8DFD6D0BDD7B6CED1A7D0A3D5D0C9FAB1A8C3FBB9A4D7F7B5C4CDA8D6AA2E646F63> 东 莞 市 教 育 局 东 中 招 办 2015 4 号 关 于 做 好 2015 年 初 中 毕 业 生 学 业 考 试 与 高 中 阶 段 学 校 招 生 报 名 工 作 的 通 知 各 镇 ( 街 园 区 ) 宣 教 办 ( 局 ), 各 初 级 中 学 完 全 中 学 : 为 做 好 我 市 今 年 初 中 毕 业 生 学 业 考 试 与 高 中 阶 段 学 校 招 生 ( 以 下 简 称

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

PCI2003硬件说明书

PCI2003硬件说明书 ART2932 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 AD 模拟量输入功能...1 第三节 DA 模拟量输出功能...2 第四节 DI 数字量输入功能...2 第五节 DO 数字量输出功能...2 第六节 定时计数功能...2 第七节 其他指标...2 第八节 板卡尺寸...3

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

COMPACT

COMPACT OMPACT COMPACT-USB-8-16 系列采集卡使用手册 武汉康柏科特科技有限公司 www.whcompact.com liutao@whcompact.com 技术支持 :13437156722 目录 COMPACT-USB-8-16 采集卡... 1 第一章 COMPACT-USB-8-16 采集卡接口及尺寸... 2 1.1 采集卡接口及定义... 2 1.2 采集卡尺寸... 3

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information