PCI-2410,八通道同步卡

Size: px
Start display at page:

Download "PCI-2410,八通道同步卡"

Transcription

1 PCI-2410 声音震动采集卡 8 路同步 24 位 AD,2 路 PWM,2 路测频,2 路计数 ( 或分频 ),16 路 DI/DO 用户手册 北京新超仁达科技有限公司 版权所有 (C) 北京新超仁达科技有限公司 2013 在无北京新超仁达科技有限公司优先书面授权书前提下, 此出版物任何一个部分不可通过任何形式进行复制 修改和翻译 对于非法复制 修改和翻译商业行为, 将根据国家 知识产权相关法律追求其法律责任 从此文件发布日期起, 在此发表的是当前或者拟定的信息 由于我们会不断对产品进行改进和增加特征, 此出版物中的信息如有变动恕不另行通知 最后更新时间 :

2 一 概述...3 二 主要特点 性能... 3 三 技术参数...4 四 使用 ID 设置 :( 四位拨码开关 SW 设置 ) A/D 注意事项 : AS1,JTAG1: 输出码制对应关系:... 4 五 接口定义与信号连接 接口插座定义 板卡 SMB 端子定义 跳线设置及 IEPE 传感器接法 P1 口定义 P2 口定义 P3 口定义 模拟信号输入连接方式... 8 六 工作原理 逻辑框图 AD 工作模式 : 连续采集: 触发采集: AD 采集中断和 DMA ) 单次触发 : ) 周期触发 : ) 其他情况 : FIFO 中数据存放顺序 模拟 8254 分频 / 计数器 测频原理 PWM 输出原理 七 驱动...14 八 例程说明 关于 PCI2410.dll 位置的说明 高级语言调用说明 九 动态链接库中 API 函数说明 十 维修服务 产品完整性 维修 服务 最后更新时间 :

3 PCI2410 用户使用手册 版权声明 : 本手册由北京新超仁达科技有限公司提供, 任何单位 个人不得转载 修改该文档的样式和内容, 否则将追究法律责任 版权归北京新超仁达科技有限公司 一 概述 PCI2410 是一款高性能的数据采集卡, 由北京新超仁达科技有限公司精心设计 适合中高速 高精度等场合的测量应用 PCI2410 具有 8 路同步差分输入 32 路开关量 (16 路 TTL 输入及 16 路 TTL 输出 ) 2 路方波测频 2 路 24 位脉冲计数 ( 或者方波分频 ) 2 路 24 位 PWM( 可指定 Pulse 个数 ) 输出等功能 AD 采集部分 : 其采集方式 触发源 时钟源 触发方式丰富, 极大的满足了不同用户的测量需求 PCI2410 的逻辑控制采用现场可编程门阵列 (FPGA) 实现, 以提高可靠性 同时数字地 (GND) 模拟地 (AGND) 分离, 单点接地, 以消除回路干扰 二 主要特点 性能 A/D 转换器 :156kSPS( 可选 312kSPS 版本 ) 24 位 Sigma-Delta ADC; 模拟信号输入方式 :8 路同步差分输入 模拟部分供电 :LDO 供电 ; 工作方式 : 中断 查询 ; 数据传输方式 :DMA; 本体噪声低, 通道隔离度高 ; 双极性输入电压范围 :±10V( 可选 ±30V 版本 ); 独立程控增益,4 级可调, 即 1 倍 10 倍 100 倍和 1000 倍 ; IEPE 传感器恒流源电流大小 IEPE 传感器激励电压 :24V; 恒流源输出阻抗 :>250 k Ω at 1 khz; 恒流源噪声 :<500 pa/ Hz; 耦合方式 :AC/DC; AC 耦合 -3dB 截止频率 :0.8Hz(±10V 版 ),0.83Hz(±30V 版 ); AD 采样频率可调 :1 ksps~156ksps( 或 1 ksps~312ksps); 采集方式 : 分连续采集和触发采集 ; 触发源有 4 种, 即脉冲触发 模拟触发 定时 心跳 触发 软触发, 软触发 用于连续采集模式 ; 触发模式有 4 种, 即预触发 中间触发 后触发 延时触发, 支持周期触发和单次触发 ; 时钟源支持内时钟和外时钟 ; 连续采集模式时, 触发源为 软触发, 欲多卡同步启动, 软触发后操作指定引脚即可 ; 板载 SDRAM 存储器 (4M 字 FIFO 化 SDRAM), 单次触发采集时最大可采集 2M 个点 ; FIFO 溢出监测, 包括 单次采完, 满, 半满, 空等状态 ; 板载 ID 识别, 支持多卡同步操作 ; 提供 WIN7/VISTA/2000/XP 下驱动程序及动态连接库 ; 尺寸大小 ( 不含挡片 ):99(W) 168(L) (mm) 最后更新时间 :

4 三 技术参数 工作电压 : 5V±0.25V 功耗 : 5V@750mA 3.3V@450mA 12V@100mA 典型 工作温度 : 0 ~70 存储温度 : -20 ~85 湿度 : 5%~95%, 无冷凝 四 使用 4.1 ID 设置 :( 四位拨码开关 SW 设置 ) 如果系统插入了两块一样的卡, 可通过设置不同的 ID 来进行区分 具体设置如下表 : ID3 ID2 ID1 ID0 Board ID ON(1) ON(1) ON(1) ON(1) 15 ON(1) ON(1) ON(1) OFF(0) 14 ON(1) ON(1) OFF(0) ON(1) 13 ON(1) ON(1) OFF(0) OFF(0) 12 ON(1) OFF(0) ON(1) ON(1) 11 ON(1) OFF(0) ON(1) OFF(0) 10 ON(1) OFF(0) OFF(0) ON(1) 9 ON(1) OFF(0) OFF(0) OFF(0) 8 OFF(0) ON(1) ON(1) ON(1) 7 OFF(0) ON(1) ON(1) OFF(0) 6 OFF(0) ON(1) OFF(0) ON(1) 5 OFF(0) ON(1) OFF(0) OFF(0) 4 OFF(0) OFF(0) ON(1) ON(1) 3 OFF(0) OFF(0) ON(1) OFF(0) 2 OFF(0) OFF(0) OFF(0) ON(1) 1 OFF(0) OFF(0) OFF(0) OFF(0) 0 表一 ID 设置 注意 :OFF:0,ON:1 4.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当输入噪音较大时, 应用对采样结果进行多次平均的方法处理或硬件滤波 ; 4.3 AS1,JTAG1: 为 FPGA 编程下载线, 用户无需理会 4.4 输出码制对应关系: 双极性方式工作时, 转换后的 24 位数码为补码 此时 24 位数据的最高位 (DB 23 ) 为符号位, 1 表示负, 0 表示正 若您购买的是宽量程版本 (±30V) 的板卡此时数据与模拟电压值的对应关系为 : 如果 DB 23 =0: 模拟电压值 =( 数据 (24 位 ) ( N); 如果 DB 23 =1: 模拟电压值 =( 数据 (24 位 ) ) ( N); N 受程控增益影响, 与各档位对应关系如下 : 最后更新时间 :

5 ±30V 档 :N = 1; ±3V 档 :N = 10; ±300mV 档 :N = 100; ±30mV 档 :N = 1000; 若您购买的是窄量程版本 (±10V) 的板卡此时数据与模拟电压值的对应关系为 : 如果 DB 23 =0: 模拟电压值 =( 数据 (24 位 ) ( N); 如果 DB 23 =1: 模拟电压值 =( 数据 (24 位 ) ) ( N); N 受程控增益影响, 与各档位对应关系如下 : ±10V 档 :N = 1; ±1V 档 :N = 10; ±100mV 档 :N = 100; ±10mV 档 :N = 1000; 板卡外观 : 最后更新时间 :

6 五 接口定义与信号连接 5.1 接口插座定义 板卡 SMB 端子定义 跳线设置及 IEPE 传感器接法 SMB 座 通道号 屏蔽壳 信号芯 SMB7 CH7 AIN7- AIN7+ SMB6 CH6 AIN6- AIN6+ SMB5 CH5 AIN5- AIN5+ SMB4 CH4 AIN4- AIN4+ SMB3 CH3 AIN3- AIN3+ SMB2 CH2 AIN2- AIN2+ SMB1 CH1 AIN1- AIN1+ SMB0 CH0 AIN0- AIN0+ SMB8 ATR AGND( 模拟地 ) 模拟触发输入 表二 模拟输入插座 CN1(DB37) 接口定义表 AIN n + AIN n -: 模拟信号输入差分对,n = 0,1,2 7, 分别对应 8 路 A/D 输入通道 ; 板卡跳线设置如上图, 一共 8 组跳线, 每路 AD 对应一组 ( 上下及右边共三个 ) 跳线 ; 例如 : 在使用 IEPE 加速传感器时, 短接下侧跳线以接通恒流源, 断开上侧跳线配置成 AC 耦合方式, 同时把右侧跳线短接 ; 出厂默认为断开恒流源,DC 耦合,SMB 外壳未接地 使用 IEPE 传感器时, 待传感器接好后, 请务必确保右侧跳线短接, 即通道差分对负端 (SMB 屏蔽壳 ) 接至 AGND; 否则传感器可能不工作 ; 最后更新时间 :

7 5.1.2 P1 口定义 插座引脚号 信号定义 插座引脚号 信号定义 (IDC20) (IDC20) 1 EXT_CLK 2 GND 3 GND 4 GND 5 测频 0 6 C_OUT0 7 G0 8 C_IN0 9 测频 1 10 C_OUT1 11 G1 12 C_IN1 13 GND 14 PWM0 15 GND 16 PWM1 17 DTR 18 PACER_PULSE 19 +5V_OUT V_OUT 表三 脉冲 计数 测频信号插座 P1(IDC20) 接口定义表 EXT_CLK:AD 外时钟 使用 156kSPS 版本采集卡时, 采样速率 = 外时钟 /256; 使用 312kSPS 版本采集卡时, 采样速率 = 外时钟 /128; C_IN0 C_IN1 : 模拟 8254 输入端 ; C_OUT0 C_OUT1 : 模拟 8254 输出端 ; G0 G1: 模拟 8254 门控输入, 高电平使能, 低电平禁能 ; PWM0 PWM1: 固定或连续脉冲输出端 ; 测频 0 测频 1: 待测频信号输入端 ( 方波输入 ); GND: 数字地 DTR: 脉冲触发 PACER_PULSE :PACER 脉冲输出, 当触发源选用 定时触发 时, 定时器超时后输出 500ns 高脉冲, 可用做别的卡的脉冲触发源, 以同步多块卡 ; P2 口定义 插座引脚号 (IDC20) 信号定义 插座引脚号 (IDC20) 信号定义 1 DO 0 2 DO 1 3 DO 2 4 DO 3 5 DO 4 6 DO 5 7 DO 6 8 DO 7 9 DO 8 10 DO 9 11 DO DO DO DO DO DO GND 18 GND 19 +5V_OUT V_OUT 表四 数字量输出插座 P2(IDC20) 接口定义表 最后更新时间 :

8 5.1.4 P3 口定义 插座引脚号 (IDC20) 信号定义 插座引脚号 (IDC20) 信号定义 1 DI 0 2 DI 1 3 DI 2 4 DI 3 5 DI 4 6 DI 5 7 DI 6 8 DI 7 9 DI 8 10 DI 9 11 DI DI DI DI DI DI GND 18 GND 19 +5V_OUT V_OUT 表五 数字量输入插座 P3(IDC20) 接口定义表 其中 P2 P3 口的 脚为 +5V_OUT,+12V_OUT, 可往外提供 +5V 和 +12V 输出 5.2 模拟信号输入连接方式 AIN0+ 信号 AIN0- 输入 连 AIN7+ 接器 AIN 外部模拟输入差分信号 最后更新时间 :

9 六 工作原理 6.1 逻辑框图 CH1..CH8( 模拟信号差分输入 ) 16 路 DI 16 路 DO ADC( 内含差分运算放大器 ) 缓冲器 锁存器 TRIG( 外触发信号 ) 4 位拨码 (ID) 现场可编程门阵列 FPGA ( 内含地址译码 数据锁存 数据缓冲 控制电路等 ) SDRAM( 存储器,4M 字 ) PCI 总线接口芯片 PLX9054 PCI 总线 6.2 AD 工作模式 : 连续采集: 用户配置采样频率和通道后, 勾选 连续采集 模式后, 点击 开始采集 按钮, 便可进行连续不间断采样 ; 多卡同步 连续采集 时, 须点击 开始采集 并触发 P1 口 Pin17 脚 ( 拉低后释放即可 ), 方可采集数据 ; 触发采集 : 在这种模式下, 用户需配置触发源, 触发模式及触发参数 JDK, 如下图 ; 点击 开始采集 按钮后,PC 便可根据 FIFO 状态或中断获取数据 J: 触发事件前采集 WORD 数, 即 J/2 个点 D: 触发事件后延时 WORD 数, 即 D/2 个点 K: 触发事件后采集 WORD 数, 即 K/2 个点 最后更新时间 :

10 触发源 : 1) 脉冲触发源 : 脉宽不小于 50ns 的 TTL 高脉冲信号 ; 2) 模拟触发源 : 幅值在 -5V -- +5V 之间, 信号越平滑越好, 如下图示 ; 当周期触发时, 须保证两次模拟触发间隔不要小于 0.2ms; 3) 定时触发源 :32 位定时器, 基准时钟 4M, 即定时间隔最大可设置为约 S; 定时器超时后,DB37 头 Pin28 将输出脉宽 500ns 的高脉冲, 多卡同步操作时, 在无脉冲触发源时可作为另一块卡的触发源 ; 4) 软触发源 : 即 开始采集 按钮, 用于连续采集模式 ; 在触发采集模式下, 开始采集 按钮并非真正的触发源, 只用于告知采集卡 PC 已经准备好, 可采集数据了 ; 触发方式 : A. 单次触发 1) 预触发 : 参数 J 有效, 在触发信号来之前预先采集数据, 触发信号来了之后停止采集, 告知 PC 读取数据 ; 若触发信号来的过早, 会出现预采数据不够的情况,PC 会有相应画面呈现 ; 2) 中间触发 : 参数 J 及 K 有效, 在触发信号来之前预先采集数据, 触发信号来了之后继续采集够所设值, 停止采集, 告知 PC 读取数据 ; 若触发信号来的过早, 会出现预采数据不够的情况,PC 会有相应画面呈现 ; 3) 后触发 : 参数 K 有效, 触发信号来了之后采集够所设值, 停止采集, 告知 PC 读取数据 ; 4) 延时触发 : 参数 D 及 K 有效, 触发信号来了之后, 延时所设时间后并采集够所设值, 停止采集, 告知 PC 读取数据 ; B. 周期触发 1) 预触发 : 参数 J 有效, 在触发信号来之前预先采集数据, 触发信号来了之后停止采集, 即 单次采完 之后告知 PC 读取数据, 待 PC 读取数据之后方可继续感知下一次触发信号 ; 若触发信号来的过早, 会出现预采数据不够的情况,PC 会有相应画面呈现 ; 2) 中间触发 : 参数 J 及 K 有效, 在触发信号来之前预先采集数据, 触发信号来了之后继续采集够所设值, 停止采集, 即 单次采完 之后告知 PC 读取数据, 待 PC 读取数据之后方可继续感知下一次触发信号 ; 若触发信号来的过早, 会出现预采数据不够的情况,PC 会有相应画面呈现 ; 3) 后触发 : 参数 K 有效, 触发信号来了之后采集够所设值, 继续感知下一次触发信号, 待 FIFO 采集够半满告知 PC 读取数据 ; 此方式可以实现 一个脉冲输入采集一个点 需求 4) 延时触发 : 参数 D 及 K 有效, 触发信号来了之后, 延时所设时间后采集够所设值, 继续感知下一次触发信号, 待 FIFO 采集够半满告知 PC 读取数据 ; 6.3 AD 采集中断和 DMA 最后更新时间 :

11 1) 单次触发 : 采集够一次触发事件设定的量, 随即产生 PCI 中断, 同时 FIFO 状态 单次采完 置位 ;J+K 须为 128 的整数倍, 并且不大于 4M; 2) 周期触发 : 当参数 J > 0( 即有 预采 情况时, 如预触发, 中间触发 ), 采集够一次触发事件设定的量, 随即产生 PCI 中断, 同时 FIFO 状态 单次采完 置位 ; 等上位机读完数据后, 采集卡才会去感知下一次触发事件, 要读取多少数据由 J 和 K 定 ;J+K 须为 128 的整数倍, 并且不大于 4M; 3 ) 其他情况 : 半满产生 PCI 中断 ; 6.4 FIFO 中数据存放顺序 FIFO 位宽为 16 位 (D0~D15), 模拟信号通过 AD 转换以后, 转换结果按通道依次交织存放在 FIFO 中 6.5 模拟 8254 分频 / 计数器板卡带两个 FPGA 模拟的 8254 计数器 ; 可用于分频方波信号和测量方波频率 ; 1) 方式 0 - 计数器赋初值后, 输出 OUT 立即变为低电平, 随即自动启动计数在每个 CLK 时钟上升沿, 计数器进行减 1 计数 计数值减到零时,OUT 输出变为高电平, 保持到下一个上升沿到来之前, 之后计数器自动重新装载初值, 如此反复 ; GATE 用于控制计数过程 GATE 为高电平, 允许计数 ;GATE 为低电平, 暂停计数 详情见时序注意 : 两路输入 C_IN 脚, 不用的加上拉, 不然有相互串的影响 ; 2) 方式 0 - 分频器 写入计数初值后, 输出 OUT 立即变为高电平, 随即自动启动分频 详情见时序 ( 五分频的例子,clk 为待分频信号,clk_div 为五分频后的输出信号 ) 6.6 测频原理 测量信号 ( 方波 ) 的相邻两个上升沿之间的间隔 例如 : 软件发测量命令, 用系统时钟 4MHz 测量待 测信号的两个相邻上升沿的间隔, 间隔用系统时钟数表示, 用户由此可以方便的计算出信号的频率 由于 最后更新时间 :

12 最大间隔数为 24 位表示的数据 ( 最大 0xFFFFFF, 即 ), 最大定时长度为 4.19S ( *0.25 s S) 说明 :START 信号为低, 软件启动一次测频, 测量待测信号两个相邻上升沿的时间宽度 ( 为 5 个 系统时间, 系统时钟 4MHz) 图中的 TimerOver 信号,1: 测频未结束 ;0: 测频结束 计算出外部信号的频率 Fre = (XCounter), 单位 KHz 测频有关函数的调用步骤 : 调用 PCI2410_Set_FrequencyStar 函数, 启动测频, 即发图中的 START 信号 ; 调用 PCI2410_R_FrequencyStatus 函数, 判断定时是否结束, 即图中的 TimerOver 信号 ; 如果测频结束, 调用 PCI2410_R_FrequencyNum 函数, 获取频率, 单位 KHz 能测量的最低信号频率为 :4M Hz;( 测量低频的时候, 所花时间较长 ) 从图中能看出, 待测信号的频率越高, 测量误差越大, 为了能够测量高频信号, 可以采用先分频, 再测频的方式 本卡自带二路分频器 章节 ) 硬件的连接方法 :( 支持两路测频 ) 将外部待测信号, 从 测频 0 或 测频 1 接入, 信号地接 GND ( 引脚定义详细参照 P1 口定义 6.7 PWM 输出原理工作模式 : 模式 0:PWM 模式 用户可以定义输出方波的周期及占空比 模式 1: 固定脉冲模式 用户可以定义输出方波个数 周期及占空比 方波输出 : 用户通过 LRESULT WINAPI PCI2410_Set_PWMInt(HANDLE hplx,float *Fre,float high_low,dword Num,BYTE CHNum) 函数, 初始化 PWM 配置, 调用启动函数 PCI2410_Set_PWMStar( 也可用此函数终止方波输出 ), 板卡开始连续输出方波 方波的输出频率由 Fre 控制, 方波的占空比由 high_low 控制, 在连续输出模式下 Num 参数无效 最后更新时间 :

13 提示 : 方波输出的脉冲占空比 (5% 95%); PCI-2408 的方波输出频率范围为 :0.12 Hz-2MHz 输出示意图 : 输出波形 高电平宽度 重复周期 连续输出时序图 : PWM 输出可以应用于 : 1 方波输出 2 灯光或电机控制, 通过输出固定频率的信号, 并设置占空比, 来控制亮度或转速 3 电压信号的远程传输, 因为数字信号通过隔离或差分发送器 (RS485 或 422 发送器 ) 可以传输很远, 可以通过固定周期而调节脉冲的宽度来表示电压信号的幅度, 最大分辨率可以到 24 位 固定个数脉冲输出 : 用户通过 LRESULT WINAPI PCI2410_Set_PWMInt(HANDLE hplx,float *Fre,float high_low,dword Num,BYTE CHNum) 函数, 初始化 PWM 配置, 调用启动函数 PCI2410_Set_PWMStar 在内部时钟 ( 也可用此函 数终止方波输出 ) 板卡开始连续输出方波 方波的输出频率由 Fre 控制, 方波的占空比由 high_low 控制, 达到固定个数后, 脉冲输出可自动停止 固定个数输出时序图 : 最后更新时间 :

14 固定脉冲输出可以应用于 : 1 控制电磁阀门 快门的一次性开启时间 2 输出单脉冲 3 输出触发信号 4 输出固定个数脉冲, 实现精确控制 七 驱动 PCI2410 的软件包括 PCI2410 驱动程序, 调用例程 7.1 驱动安装 PCI2410 驱动安装步骤如下 : WIN7 安装驱动前, 请确定是管理员身份登录 同时设置用户帐户控制 (UAC), 如图所示 : ( 如何进入系统的用户帐户控制? 进入控制面板 -> 用户帐户和家庭安全 -> 用户帐户 -> 更改用户帐户控制设置 ) 最后更新时间 :

15 鼠标将滑块滑到 从不通知, 然后点 确定 即可 1 关闭计算机, 将 PCI-2408 卡插入 PCI 插槽 ( 白色 ) 启动计算机后, 操作系统将自行检测新硬件, 并弹 出 添加新硬件向导 对话框 : 点取消按钮 最后更新时间 :

16 2 双击运行驱动文件夹中的 wd_install.bat 批处理即可 完成安装后如从 ( 控制面板 / 系统 / 设备管理器 ) 中可找到外部设备 :PCI-2408, 则可证明硬件驱动安装正确 7.2 驱动的卸载双击运行驱动文件夹中的 remove.bat 批处理即可 八 编程指导 8.1 DLL 函数全部是 WINAPI 调用约定的, 即 _stdcall 接口在使用各种编程语言时应注意选择, Visual C++/C++ Builder/Delphi 可以使用两种类型的调用约定 要在函数定义中明确指出 _stdcall 还是 _cdecl; Visual Basic/PowerBuilder 等语言应该使用 WINAPI 调用接口 八 例程说明 8.1 关于 PCI2410.dll 位置的说明 用户机器搜索动态链接库 (PCI2410.dll) 的顺序为 : 程序的执行目录当前目录系统目录 ( 依次是 :%windir%\system32, %windir%\system, %windir%), %windir% 代表系统目录 Path 环境变量所列出的路径所以可以把动态链接库放置在加载模块将要搜索的目录中的任一目录下 例子程序将 PCI2410.dll 放在程序的执行目录 以便快速找到 8.2 高级语言调用说明一 VC 程序编程说明编程前, 将 PCI2410.lib,PCI_2410.h 拷贝到用户当前项目所在目录中 VC 编程的基本流程 : 方式一 : 隐式链接 1 利用隐式链接动态链接库函数 PCI2410.lib,PCI_2410.h 文件必须在当前工作目录中 方法, 程序的开始处加入如下语句 : #pragma comment(lib, PCI2410.lib ) #include PCI_2410.h 2 调用 PCI2410_RegDriver 函数进行注册 ; 3 调用 PCI2410_init 函数进行初始化 the PLX library; 4 单块卡: 调 PCI2410_DeviceOpen 函数 ; 多块卡 : 调 PCI2410_OpenDeviceALL 函数 ; 获得板卡的操作句柄 ; 5 在退出程序时必须执行如下操作 : A 调用 PCI2410_DeviceClose 函数关闭句柄 B 调用 PCI2410_LibUninit 函数取消初始化 the PLX library 方式二 : 显式加载 1 调用 LoadLibary 函数加载 PCI2410.dll; 2 调用 GetProcAddress 函数, 获取 PCI2410.dll 导出函数的地址 ; 最后更新时间 :

17 3 注意强制类型转换 4 利用函数指针变量两种方式各有优点, 请客户按照实际情况自行取舍 ( 更多知识请参照 MSDN 或专业书籍 ) 详细可以参考光盘上的 PCI2410 的 VC 目录下的例子 在编程时必须注意, 硬件操作句柄 hplx 必须为全局变量或必须传递给有相应硬件操作的函数 硬件句柄只要在程序启动时打开一次即可, 不需要每次打开或关闭 二 VB 程序编程说明编程前, 请将 PCI2410.dll 动态链接库拷贝到用户工程所在的目录或 windows 系统的 system32 目录中 ( 注 : 如果用户是 Window xp/2000 系统, 请将 PCI2410.dll 动态链接库拷贝到 system32 目录下 ; 如果用户是 Windos98 系统, 请将 PCI2410.dll 动态链接库拷贝到 system 目录下 ) VB 编程的基本流程 : 1 在工程菜单中选择添加模块, 将 PcMod.bas 模块添加进来 ( 该模块在光盘中 \PCI2410\vb 目录中, 应用时将文件拷贝到当前工作目录 ), 此文件为所有函数的声明文件 2 在模块中定义一个硬件操作句柄 hplx, 为一个 long 属性的全局变量, 这样可以被用户程序中的所有 form 调用 3 调用 PCI2410_RegDriver 函数进行注册 ; 4 调用 PCI2410_init 函数进行初始化 the PLX library; 5 单块卡 : 调 PCI2410_DeviceOpen 函数 ; 多块卡 : 调 PCI2410_OpenDeviceALL 函数 ; 获得板卡的操作句柄 ; 6 在退出程序时必须执行如下操作 :A 调用 PCI2410_DeviceClose 函数关闭句柄 B 调用 PCI2410_LibUninit 函数取消初始化 the PLX library 在退出程序时必须执行如下操作 : 利用 PCI2410_DeviceClose 函数关闭句柄注 :PcMod.bas 模块已经包含了所有必要的 PCI2410 函数的声明语句 有关用户其他方面的应用请参考光盘中的例程 注 :VB 中如果设备操作句柄不等于 0 为有效句柄 三 LabVIEW 程序编程说明本公司生产的所有采集卡的相关接口函数, 均以动态链接库的形式提供给用户 在使用 LabVIEW 对本公司采集卡进行开发时, 只需通过 LabVIEW 中的 Call Library Function Node 节点来调用我们所提供的动态链接库函数即可对硬件进行相关操作 在程序框图中, 点右键选互连接口 -> 库与可执行程序 -> 调用库函数接点 详见光盘中的 LabVIEW 例程或参照 LabVIEW 相关教程 四 LabWindows/CVI 编程说明在程序的最前面包含 windows.h, 同时把 PCI_2410.H,PCI2410.lib 引入即可 五 Delphi 程序编程说明在 Delphi 中调用动态链接库的方式分为静态调用和动态调用 编程前, 请将 PCI2410.dll 动态链接库拷贝到用户项目所在的目录或 windows 系统的 system32 目录中 最后更新时间 :

18 ( 注 : 如果用户是 Window xp/2000 系统, 请将 PCI2410.dll 动态链接库拷贝到 system32 目录下 ; 如果用户 是 Windos98 系统, 请将 PCI2410.dll 动态链接库拷贝到 system 目录下 ) Delphi 编程的基本流程 : 1 在.pas 文件中的 implementation 处声明动态连接库中的函数 2 定义一个硬件操作句柄, 为一个 ulong 属性的全局变量 3 调用 PCI2410_RegDriver 函数进行注册 ; 4 调用 PCI2410_init 函数进行初始化 the PLX library; 5 单块卡 : 调 PCI2410_DeviceOpen 函数 ; 多块卡 : 调 PCI2410_OpenDeviceALL 函数 ; 获得板卡的操作 句柄 ; 6 在退出程序时必须执行如下操作 :A 调用 PCI2410_DeviceClose 函数关闭句柄 B 调用 PCI2410_LibUninit 函数取消初始化 the PLX library 注 :Delphi 中如果设备操作句柄不等于 $0 为有效句柄 有关用户其他方面的应用请参考相关书籍 九 动态链接库中 API 函数说明 新超公司经过精心设计, 提供的动态链接库 (DLL) 支持目前所有的高级语言 ( 如 :VB,VC,Delphi,C++ Builder,Labview,LabWindows/CVI 等 ), 底层函数极其复杂, 其中封装的用户级 API 函数达 50 个之多, 极 大的方便了用户操作 PCI2410 卡 API 函数介绍如下 : 错误代码宏定义 : 定义错误号 #define WD_STATUS_SUCCESS 0 成功 #define WD_STATUS_FAIL 1 注册失败 #define ErrorCode 100 #define InvalidInputPara (ErrorCode + 1) 输入参数错误 #define CardIDErr (ErrorCode + 2) 卡号出错 #define NoDeviceErr (ErrorCode + 3) 没有设备 #define InvalidDMAHandle (ErrorCode + 4) DMA 句柄无效 #define InvalidDeviceHandle (ErrorCode + 5) 设备句柄无效 #define ADFreSelectErr (ErrorCode + 6) AD 频率错误 #define DMAChannelErr (ErrorCode + 7) DMA 通道出错 #define IntEnableFailed (ErrorCode + 8) 中断允许失败 #define ReadEEPROM_Failed (ErrorCode + 9) 读取 EEPROM 失败 #define Write_EEPROM_Failed (ErrorCode + 10) 写入 EEPROM 失败 #define Trigger_NUMErr (ErrorCode + 11) 无效触发采集点数 #define TriggerTimeErr (ErrorCode + 12) 无效触发时机 ; #define HeartbeatTimeErr (ErrorCode + 13) 无效心跳间隔 ; #define EXPORTS _declspec(dllexport) #pragma pack(1) 为 labview 调用 函数功能 : 注册驱动 ; 先调用此函数注册 返回 presult: 错误代码, 错误代码含义请看宏定义 ; 最后更新时间 :

19 void PCI2410_RegDriver(LRESULT* presult); 函数功能 : 初始化 the PLX library, 此函数要先于函数 PCI2410_DeviceOpen 被调用 presult 返回 : 错误代码, 错误代码含义请看宏定义 ; void PCI2410_init(LRESULT* presult); 函数功能 : 取消初始化 the PLX library, 此函数最后被调用 返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_LibUninit(LRESULT* presult); 函数功能 : 当前错误号 lerror 如果错误号为 0 表示无错误 ; lpmsg, 返回当前错误号所代表的含义返回值 : 错误代码, 错误代码含义请看宏定义 ; LRESULT PCI2410_GetErrorMessage(LRESULT lerror, TCHAR* lpmsg); 函数功能 : 打开 PCI2410 卡, ncardid; 由板上四位拨码设定, 范围 0-15; 返回 hdevice: 硬件操作句柄返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_DeviceOpen(unsigned char ncardid,handle * hdevice,lresult* presult); 函数功能 : 打开多个 PCI2410 设备, 在系统连接多块 PCI2410 时使用 cardnum: 连接系统的 PCI2410 卡的数量返回 hdevices: 为指向句柄数组 hdevice[16] 的指针 ; 以 ID 码为索引返回句柄数组 ; 返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_OpenDeviceALL(unsigned char *cardnum,handle *hdevices,lresult* presult); 函数功能 : 获取 PCI2410 卡的数量 ; num, 存放 PCI2410 卡的数量 ; 返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_CountCards(unsigned char *num, LRESULT* presult); 函数功能 : 获取 PCI2410 卡的 ID 码 ; IDnum, 存放 PCI2410 卡的 ID 码 ; 返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_GetID(unsigned char*idnum, LRESULT* presult); 函数功能 : 允许中断 ; 最后更新时间 :

20 funcinthandler, 指向中断处理函数的指针 ; 返回 presult: 错误代码, 错误代码含义请看宏定义 ; void PCI2410_IntEnable(pci2410_INT_HANDLER funcinthandler,lresult* presult); 函数功能 : 禁止中断 ; void PCI2410_IntDisable(LRESULT* presult); 函数功能 : 判断中断是否允许 ; IntIsEnabled,true: 中断允许 ;false: 中断禁止 ; void PCI2410_IntIsEnabled(BOOL *IntIsEnabled,LRESULT* presult); 函数功能 : 读中断控制字 ; myintcon, 中断控制字 ; 中断字结构 ( 高 -> 低 ) 对应二进制 : LD[7] LD[6] LD[5] LD[4] LD[3] LD[2] LD[1] LD[0] 涵义 触发满半满空 LD[3], 置 1 表示触发采集准备就绪 ; LD[2], 置 1 表示 FIFO 已满 ; LD[1], 置 1 表示 FIFO 半满 ; LD[0], 置 1 表示 FIFO 为空 ; void PCI2410_R_Int_Con(unsigned char *myintcon,lresult* presult); 函数功能 : 设置不同采集模式下的采集点数 ; CHNum, 有效通道数 ; TriggerTime, 不同的触发模式,00,01,10,11 分别代表预触发 NUM_J 有效 中间触发 NUM_J NUM_K 有效 后触发 NUM_K 有效 延时触发 NUM_D NUM_K 有效 ; 在单次触发模式下 : 预触发采集点数, NUM_J 为通道数和 64 的整倍数 ; 中间触发采集点数,NUM_J NUM_K 之和为通道数和 64 整倍数 ; 后触发采集点数,NUM_K, 为通道数和 64 的整倍数 ; 延迟触发采集点数,NUM_D 为通道的整倍数,NUM_K, 为通道数和 64 的整倍数 ; 在周期触发模式下 : 预触发采集点数, NUM_J 为通道数和 64 的整倍数 ; 最后更新时间 :

21 中间触发采集点数,NUM_J NUM_K 之和为通道数和 64 整倍数 ; 后触发采集点数,NUM_K, 为通道数的整倍数 ; 延迟触发采集点数,NUM_D 为通道的整倍数,NUM_K, 为通道数的整倍数 ; NUM_J, 触发事件前采集点数 NUM_D, 触发事件后延时点数 NUM_K, 触发事件后采集点数 void PCI2410_Set_Trigger_NUM(unsigned char CHNum,unsigned char TriggerTime,DWORD DWORD NUM_D,DWORD NUM_K,LRESULT* presult); NUM_J, 函数功能 : 设置定时 心跳 触发间隔时间 ; times, 定时 心跳 触发间隔时间 (ms); 并返回实际出发时间 ; void PCI2410_Set_HeartbeatTime(float *times,lresult* presult); 函数功能 : 通道选择设置 ; ADCH, 通道选择按位组成二进制数, 二进制高到低 D[7] [0] 对应通道 7 0;D[0], 为 1 通道 0 选中, 为 0 未选中 ; void PCI2410_Set_CHConfig(unsigned char ADCH,LRESULT* presult); 函数功能 : 设置采集频率 ; FreMode: 分频模式, 0 为 156KHZ,1 为 312KHZ 默认为 0 Fre: 采集卡的采样频率 (a7765)(1-156)khz( 同步 ); 并返回实际采样频率 ;,a7764(1-156kz) void PCI2410_Set_Fre(unsigned char FreMode,float *Fre,LRESULT* presult); 函数功能 : 设置模拟触发电压 ; voltage, 模拟触发电压 (-5~5)V; void PCI2410_Set_Trigger_V(float voltage,lresult* presult); 函数功能 : 设置增益 ( 设置电压时调用 ) bdata: LD[1:0] = 0 对应 -30V V 档, LD[1:0] = 1 对应 -3V -- +3V 档, LD[1:0] = 2 对应 -300mV mV 档, LD[1:0] = 3 对应 -30mV mV 最后更新时间 :

22 void PCI2410_Set_Gain(unsigned char bdata,lresult* presult); 函数功能 : 清 FIFO; void PCI2410_ClearFIFO(LRESULT* presult); 函数功能 : 启动 AD; void PCI2410_AD_Start(LRESULT* presult); 函数功能 : 停止 AD; void PCI2410_AD_Stop(LRESULT* presult); 函数功能 : 设置采集方式 ; ClockMode,LD[8] 0 内时钟,1 外时钟 ( 决定采集频率 = 时钟频率 / 128); Series_or_no,LD[9] 0 连续采,1: 触发采 ; SingleCard,LD[10] 0 单卡采集,1: 多卡同步采集 ; 多卡同步采集时需要相同时钟源 ; TriggerTime,LD[17:16] 触发采集模式 ;00,01,10,11 分别代表预触发 中间触发 后触发 延时触发 ; TriggerMode,LD[3:0] 触发源,00,01,10,11 分别代表, 软触发, 定时 心跳 触发, 模拟触发, 和脉冲触发 ; 软触发用于连续采集, 多卡同步连续采集时, 需复用脉冲触发引脚, 以确保两块卡同时软触发采集 ; Trigger,LD[13:12] 脉冲触发边沿类型 01: 上升沿触发,10: 下降沿触发, 11, 双边沿触发 ; TriggerType,LD[15:14] 模拟触发 SLOPE 类型 01: Positive-slope trigger, 10: Negative-slope trigger; 11duL-slope trigger; TriggerNum,LD[4] 1: 周期触发,0: 单次触发 ; void PCI2410_Set_AcquisitionMode(unsigned char ClockMode,unsigned char Series_or_no,unsigned char SingleCard,unsigned char TriggerTime,unsigned char TriggerMode,unsigned char Trigger,unsigned char TriggerType,unsigned char TriggerNum,LRESULT* presult); 函数功能 :16 位开关量输出 ; 最后更新时间 :

23 data, 开关量按位输出的值, 二进制位由高到低 LD[15] LD[0] 对应 DO[15] DO[0],1 为高电平 ; void PCI2410_W_DO(WORD data,lresult* presult); 函数功能 :16 位开关量输入 ; data, 开关量按位读取的值, 二进制位由高到低 LD[15] LD[0] 对应 DI[15] DI[0],1 为高电平 ; void PCI2410_R_DI(WORD *data,lresult* presult); 函数功能 :PWM 初始化设置 ; *Fre,PWM 脉冲输出频率, 并返回实际频率, 单位 KHz; high_low,pwm 脉冲占空比 (5% 95%); Num, 输出固定的脉冲个数 ; CHNum,PWM 脉冲输出通道 (0-1); void PCI2410_Set_PWMInt(float *Fre,float high_low,dword Num,unsigned char CHNum,LRESULT* presult); 函数功能 :PWM 启动或停止命令 ; data, 二进制数据位 LD[3:2] 各位分别代表 PWM[1:0] 各通道的状态, 1 代表启动,0 代表停止 ; LD[1:0] 各位分别代表 PULSE[1:0] 各通道的状态, 1 代表启动 ; 由于 PWM 和 PULSE 为同一管脚,LD[3:2],LD [1:0] 对应位不能都为 1; LD[5:4], 固定 PULSE ch1 ch0, 置 1 强制停止 PULSE 输出 void PCI2410_Set_PWMStar(unsigned char data,lresult* presult); 函数功能 : 读脉冲输出是否完成 CHNum: 数据低位 (0,1) 分别代表通道 0, 通道 1 返回 bstatus: 0 代表完成,1 代表未完成 void PCI2410_Read_PWMStatus(unsigned char CHNum,unsigned char* bstatus,lresult* presult); 函数功能 : 设置 8254 工作模式 ; data, 二进制数据位 LD[1],LD[0] 分别代表通道 1 0 工作方式 ;1: 计数,0: 分频 ; 最后更新时间 :

24 void PCI2410_Set_8254Mode(unsigned char data,lresult* presult); 函数功能 : 设置分频系数 ; num, 分频系数 ; CHNum, 分频 ( 计数器 ) 输入通道 (0-1); void PCI2410_Set_FreDivNum(DWORD num,unsigned char CHNum,LRESULT* presult); 函数功能 : 设置计数器初始值 ; num, 计数器初始值 ; CHNum, 计数器 ( 分频 ) 输入通道 (0-1); void PCI2410_Set_CounterInt(DWORD num,unsigned char CHNum,LRESULT* presult); 函数功能 : 读取计数器值 ; num, 返回当前计数器的值 ; CHNum, 计数器 ( 分频 ) 输入通道 (0-1); void PCI2410_R_CounterNum(DWORD *num,unsigned char CHNum,LRESULT* presult); 函数功能 : 启动测频 ; CHNum, 测频输入通道 [1:0], 按位存储, 即 0 通道 01,1 通道 10,[0,1] 通道 11. void PCI2410_Set_FrequencyStar(unsigned char CHNum,LRESULT* presult); 函数功能 : 开启 / 关闭恒流源 bstart 是否开启 1 开启,0 关闭 void PCI2410_Set_ConstantVolSource(unsigned char bstart, LRESULT* presult); 函数功能 : 读取测频状态 ; Status, 返回测频通道频率状态 ;0, 结束 ;1 未结束 CHNum, 测频输入通道 (0-1); void PCI2410_R_FrequencyStatus(unsigned char *Status,unsigned char CHNum,LRESULT* presult); 函数功能 : 读取测频值 ; Fre, 返回测频通道频率值 (KHz); 最后更新时间 :

25 CHNum, 测频输入通道 (0-1); void PCI2410_R_FrequencyNum(float *Fre,unsigned char CHNum,LRESULT* presult); 函数功能 :PCI2410 卡打开 DMA; dwlocaladdr,dma 起始地址 ;PCI2410 卡的 DMA 起始地址为 :0x ; dwbytes,dma 传送的字节数 ; 在 Win2000,XP,2003,NT 操作系统下, 允许 Cache 会加快 DMA 传送速度 ; 触发采集模式下 : 单次触发 : 预触发,dwBytes = (NUM_J + 通道数 * 64)* 4;( 由于 AD 存在延迟, 每个通道大约延迟 28 个点, 所以每个自动多取 64 个点 ( 总点数为 64 的整倍数 )) 中间触发, dwbytes = (NUM_J+NUM_K)*4; 后触发,dwBytes = NUM_K * 4; 延迟触发, dwbytes=num_k * 4; 周期触发 : 预触发,dwBytes = (NUM_J + 通道数 * 64)* 4; 中间触发, dwbytes = (NUM_J+NUM_K)*4; 后触发, 根据 FIFO 大小设置取数点数 ; 延迟触发, 根据 FIFO 大小设置取数点数 ; 连续采集模式下 : 根据 FIFO 大小设置取数点数 ; dmachannel,dma 通道, 有效值 0 或 1; PCI2410_hDma,DMA 操作句柄 ; void PCI2410_DMAOpen(DWORD dwlocaladdr, DWORD dwbytes, BOOL Is_Allow_Cache, int dmachannel,handle *pci2410_hdma,lresult* presult); 函数功能 :PCI2410 卡进行 DMA 传输 ; hdma,dma 操作句柄, 由 PCI2410_DMAOpen 返回 ; pdma_data, 指针, 指向数据区 ;DMA 数据存放的首地址 ; dwbytes,dma 传送的字节数, 与 PCI2410_DMAOpen 函数中 dwbytes 保持一致 ; 触发采集模式下 : 单次触发 : 预触发 中间触发 后触发 延迟触发根据 FIFO 状态信号 LD[3] 为 1 时取数 ; 周期触发 : 预触发 中间触发根据 FIFO 状态信号 LD[3] 为 1 时取数 ; 最后更新时间 :

26 后触发 延迟触发根据 FIFO 半满中断状态取数 ; 连续采集模式下 : 根据 FIFO 半满中断状态取数 ; void PCI2410_DMAStart(HANDLE hdma, unsigned char *pdma_data, DWORD dwbytes,lresult* presult); 函数功能 :PCI2410 卡关闭 DMA; hdma,dma 操作句柄, 由 PCI2410_DMAOpen 返回 ; void PCI2410_DMAClose(HANDLE hdma,lresult* presult); 函数功能 :PCI2410 卡判断 DMA 传输是否完成 ; hdma,dma 操作句柄, 由 PCI2410_DMAOpen 返回 ; mydmaisdone,true: 完成 ; false: 未完成 ; void PCI2410_DMAIsDone(HANDLE hdma,bool *mydmaisdone,lresult* presult); 函数功能 :AD 复位 ; void PCI2410_ADReset(LRESULT * presult); 函数功能 : 重新打开中断 ;( 在用户中断服务程序结束时调用 ) void PCI2410_interruptAgain(LRESULT * presult); 函数功能 : 全局复位 ;(PLX9054,FPGA, 均复位 ) void PCI2410_Reset(LRESULT * presult); 最后更新时间 :

27 函数功能 : 读寄存器器的值 ; dwreg,plx9054 内部寄存器的地址 ; regdata, 从寄存器读回的数 ; void PCI2410_ReadReg(DWORD dwreg,dword *regdata,lresult* presult); 函数功能 : 写寄存器 ; dwreg,plx9054 内部寄存器的地址 ; dwdata, 往寄存器写的数 ; void PCI2410_WriteReg(DWORD dwreg,dword dwdata,lresult* presult); /******************* 高级用户调用开始 *******************/ 函数功能 : 写 EEPROM; nstartaddr, 要写入 EEPROM 的首地址,( 范围 0X58~0XFF); pflashdata, 写入的数据 ; nbuffersize, 写入的数据大小 ; void PCI2410_WriteFlashToDevice(int nstartaddr, const LPBYTE pflashdata, DWORD nbuffersize,lresult* presult); 函数功能 : 读 EEPROM; nstartaddr, 要读取 EEPROM 的首地址,( 范围 0X58~0XFF); pflashdata, 读取的数据 ; nbuffersize, 读取的数据大小 ; void PCI2410_ReadFlashFromDevice(int nstartaddr, LPBYTE pflashdata, DWORD nbuffersize,lresult* presult); 函数功能 : 往指定偏移地址读一个字节 ; dwlocaladdr, 偏移地址 data, 读回的数值 void PCI2410_R_Byte(DWORD dwlocaladdr,unsigned char *data,lresult* presult); 最后更新时间 :

28 函数功能 : 往指定偏移地址读一个字 ; dwlocaladdr, 偏移地址 data, 读回的数值 void PCI2410_R_Word(DWORD dwlocaladdr,word *data,lresult* presult); 函数功能 : 往指定偏移地址读一个双字 ; dwlocaladdr, 偏移地址 data, 读回的数值 void PCI2410_R_DWord(DWORD dwlocaladdr,dword *data,lresult* presult); 函数功能 : 往指定偏移地址写一个字节 ; dwlocaladdr, 偏移地址 ; data, 待写的数值 void PCI2410_W_Byte(DWORD dwlocaladdr,unsigned char data,lresult* presult); 函数功能 : 往指定偏移地址写一个字 ; dwlocaladdr, 偏移地址 ; data, 待写的数值返回 presult, 错误代码, 错误代码含义请看宏定义 void PCI2410_W_Word(DWORD dwlocaladdr,word data,lresult* presult); 函数功能 : 往指定偏移地址写一个双字 ; dwlocaladdr, 偏移地址 ; data, 待写的数值 void PCI2410_W_DWord(DWORD dwlocaladdr,dword data,lresult* presult); /******************* 高级用户调用结束 *******************/ 函数功能 : 关闭 PCI2410 卡 ;( 已包含禁止中断 ) 最后更新时间 :

29 void PCI2410_DeviceClose(LRESULT* presult); 函数功能 : 获得 DLL 版本号, 返回版本号 :DLL 版本 (1.71) double xc_getversion(); 十 维修服务 10.1 产品完整性 PCI2410 产品应包括以下内容, 请检查其完整性 : 1 PCI2410 卡一块 ( 贴有出厂日期 ); 2 DB37 头套 ; 3 软件光盘一张( 含驱动软件及说明书 ) 10.2 维修本产品自售出之日起两年内, 凡用户正确使用下, 出现产品质量问题的, 免费维修 ( 出厂日期的贴条撕毁无效 ) 因违反操作规定和要求而造成损坏的, 需缴纳器件费和维修费及相应的运输费用, 如果板卡有明显烧毁 烧糊情况不予维修 如果板卡开箱测试有问题, 可以免费更换 ( 限购买板卡 10 天内 ) 10.3 服务当您购买 PCI2410 之后, 软 硬件及其它技术上使用问题均可通过电话或 与我们联系, 我们将提供令您满意的服务 最后更新时间 :

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当 XC1612P 用户使用手册 版权声明 : 本手册由北京新超仁达科技有限公司提供, 任何单位 个人不得转载 修改该文档的样式和内容, 否则将追究法律责任 版权归北京新超仁达科技有限公司 一 概述 XC1612P 是一款高性能的多功能板, 由北京新超仁达科技有限公司精心设计 采用 PC104 Plus 总线无需地址跳线 适合中高速 同步 高精度等场合的测量应用 XC1612P 具有 12 路 16 位模拟输入

More information

NET-1203

NET-1203 NET-1203 16 路 12 位 200KHz AD,2 路 12 位 DA,16 路 DIO, 2 路测频,1 路分频,2 路 24 位 PWM 输出 用户使用手册 北京新超仁达科技有限公司 2012.7 www.xckz.com 技术支持 :010-62971303 - 1 版本 :5.5 一 前言...3 二 概述...3 三 主要特点 性能... 3 四 原理说明...4 4.1 逻辑框图...4

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

产品硬件使用说明书

产品硬件使用说明书 PCI8002A 同步高速数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 AD 模拟量输入功能...2 第四节 DI 数字量输入功能...3 第五节 DO 数字量输出功能...3 第六节 其他指标...3 第二章元件布局图及简要说明...4

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

bingdian001.com

bingdian001.com 1. DLL(Dynamic Linkable Library) DLL ± lib EXE DLL DLL EXE EXE ± EXE DLL 1 DLL DLL DLL Windows DLL Windows API Visual Basic Visual C++ Delphi 2 Windows system32 kernel32.dll user32.dll gdi32.dll windows

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

KPCI KPCI-815 TEL: Fax:

KPCI KPCI-815 TEL: Fax: KPCI-815 1 KPCI-815 Ver 2.0 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 2 1 2 KPCI-815 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 3 KPCI-815 KPCI-815 PCI

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

PCI2003硬件说明书

PCI2003硬件说明书 ART2932 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 AD 模拟量输入功能...1 第三节 DA 模拟量输出功能...2 第四节 DI 数字量输入功能...2 第五节 DO 数字量输出功能...2 第六节 定时计数功能...2 第七节 其他指标...2 第八节 板卡尺寸...3

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

COMPACT

COMPACT OMPACT COMPACT-USB-8-12-2000 采集卡使用手册 武汉康柏科特科技有限公司 www.whcompact.com liutao@whcompact.com 技术支持 :13437156722 目录 COMPACT-USB-8-12-2000 采集卡... 1 第一章 COMPACT-USB-8-12-2000 采集卡接口及尺寸... 2 1.1 采集卡接口及定义... 2 1.2

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

ebook50-15

ebook50-15 15 82 C / C + + Developer Studio M F C C C + + 83 C / C + + M F C D L L D L L 84 M F C MFC DLL M F C 85 MFC DLL 15.1 82 C/C++ C C + + D L L M F C M F C 84 Developer Studio S t u d i o 292 C _ c p l u s

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

KPCI KPCI-815

KPCI KPCI-815 KPCI-815 1 KPCI-815 Ver 2.0 KPCI-815 2 1 2 KPCI-815 KPCI-815 3 KPCI-815 KPCI-815 PCI 8 KPCI-815 I/O 37 D L H L 12 H 16 2.1 PCI 32 33MHz 132MBS 2.2 32 / 16 0V~5V0V~10V*5V10V 10M 100K A/D A/D / 0.2%FRS 2.3

More information

行业

行业 PCI-1713 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4...2 1.2...2 1.3...3 1.4...3 2.1...4 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 3.1...13 3.1.1...13 3.1.2...14 3.2...15 4.1 4.2...16 4.2.1 ADSOFT/ADTRIG...16

More information

COMPACT

COMPACT OMPACT COMPACT-USB-8-16 系列采集卡使用手册 武汉康柏科特科技有限公司 www.whcompact.com liutao@whcompact.com 技术支持 :13437156722 目录 COMPACT-USB-8-16 采集卡... 1 第一章 COMPACT-USB-8-16 采集卡接口及尺寸... 2 1.1 采集卡接口及定义... 2 1.2 采集卡尺寸... 3

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

K-846使用说明书

K-846使用说明书 K-846 光隔开关量输出接口卡使用说明书 (Ver 2.1 2008.09.02) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

数据采集编程指南 下篇 ni.com/china/daq

数据采集编程指南 下篇                                                                                    ni.com/china/daq 数 据 采 集 编 程 指 南 下 篇 目 录 数 据 存 储 与 文 件 I/O 1-8 同 步 ( 上 ) 9-13 同 步 ( 下 ) 14-21 特 别 篇 : 模 块 化 仪 器 22-26 数 据 存 储 与 文 件 I/O 简 介 本 期 内 容 将 介 绍 如 何 使 用 NI 数 据 采 集 板 卡 来 实 现 数 据 的 存 储 和 文 件 I/O 操 作 在 一 个 典 型 的

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

第一部分 绪论

第一部分 绪论 KPCI-1812 数据采集卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech Support

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

行业

行业 PCI-1751 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...3 2.2.3 JP4...4 2.2.4...4 2.3...5 2.3.1...6 2.3.2...7 2.4...12 2.4.1...13 2.4.2...13 2.4.3...14 3.1...16 3.1.1 /...16 3.1.2 /...17

More information

USB2813数据采集卡

USB2813数据采集卡 ART2750 任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 DA 任意波形输出功能...2 第三节 其它硬件指标...2 第四节 板卡尺寸...2 第五节 产品安装核对表...3 第六节 安装指导...3 一 软件安装指导...3 二 硬件安装指导...3 第二章元件布局图...4

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

untitled

untitled XP248 1 XP248 XP248 DCS PLC SCnet SCnet DCS SCnet DCS 1.1 XP248 Modbus HostLink Modbus XP248 4 DB25 XP248 MODBUS XP248 SCControl XP248 4 RS232 RS485 4 32 XP248 COM0-COM1 COM2-COM3 1200 19200bit/s 5 8 1

More information

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 用 户 手 册 版 本 :V1.5 2012-04-19 购 买 链 接 :http://armfly.taobao.com 第 1 页 共 87 页 感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器,

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

产品硬件使用说明书

产品硬件使用说明书 PCI8103 高速任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 DA 任意波形输出功能...2 第四节 DI/DO 数字量输入 / 输出功能...2 第五节 其他指标...3 第六节 产品安装核对表...3 第七节 安装指导...3

More information

ISA-9620用户手册.PDF

ISA-9620用户手册.PDF ISA-9620 CAN v1.0 2004 01 08-1 - -------------------------------------------------------1 -------------------------------------------------------1 -------------------------------------------------------1

More information

PCI2003硬件说明书

PCI2003硬件说明书 ART 数字量输入输出卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录目录... 第一章功能概述... 第一节 产品应用... 第二节 DIO 数字量输入 / 输出功能... 第三节 产品安装核对表... 第四节 安装指导... 一 软件安装指导... 二 硬件安装指导... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明...

More information

USB2089数据采集卡

USB2089数据采集卡 USB2080 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 总线及制作工艺特点...1 第三节 工作主频...1 第四节 AD 模拟量输入功能...1 第五节 DI 数字量输入功能...2 第六节 DO 数字量输出功能...2 第七节 板卡外形尺寸...2 第八节

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

<4D F736F F D20C9EEDBDACAD0BAA3D4C2CDA8D0C5B6AFCCACC3DCC2EBBDE2BEF6B7BDB0B82E646F63>

<4D F736F F D20C9EEDBDACAD0BAA3D4C2CDA8D0C5B6AFCCACC3DCC2EBBDE2BEF6B7BDB0B82E646F63> 深 圳 市 海 月 通 信 技 术 有 限 公 司 动 态 密 码 解 决 方 案 建 议 书 深 圳 市 海 月 通 信 技 术 有 限 公 司 深 圳 市 海 月 通 信 技 术 有 限 公 司 第 1 页 ( 共 25 页 ) 目 录 1. 方 案 背 景... 3 2. 动 态 密 码 解 决 方 案 介 绍... 5 2.1. 动 态 密 码 系 统 介 绍... 5 2.1.1. 动 态

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

USB2815数据采集卡

USB2815数据采集卡 USB2852 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 AD 模拟量输入功能...1 第三节 DI 数字量输入功能...2 第四节 DO 数字量输出功能...2 第五节 以太网连接功能...2 第六节 板卡尺寸...2 第七节 产品安装核对表...2 第八节 安装指导...2

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

  K-845 开关量输入输出卡技术说明书

  K-845 开关量输入输出卡技术说明书 K-845 光隔开关量输入输出接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

KPCI-842使用说明书

KPCI-842使用说明书 KPCI-842 光隔开关量输入卡 用户手册 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech Support

More information

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外 USB-2000 系列多功能同步数据采集卡 用户手册 Rev: E 北京思迈科华技术有限公司 www.smacq.com www.smacq.cn 目录 1. 产品介绍... 4 1.1. 概述... 4 1.2. 功能结构框图... 5 1.3. 产品特性... 5 1.4. 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求...

More information

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * /

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 2005 http://www.hioki.cn HIOKI,, IEC JIS HIOKI 3173 3158 3159 3153 3154 : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * / 3156 3157 3931 3930 9267 PC ( JIS/IEC/UL

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

用户大会 论文集2.2.doc

用户大会 论文集2.2.doc MagGis MapGis GIS MagGis API DLL MapGis VC++ VB BC++ Delphi., Windows API MapGis VC++V Delphi Delphi Delphi MapGis Delphi Delphi Windows Delphi Delphi MapGis MapGis DLL API MapGis function _InitWorkArea(HINST:Integer):Integer;

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

BOOL EnumWindows(WNDENUMPROC lparam); lpenumfunc, LPARAM (Native Interface) PowerBuilder PowerBuilder PBNI 2

BOOL EnumWindows(WNDENUMPROC lparam); lpenumfunc, LPARAM (Native Interface) PowerBuilder PowerBuilder PBNI 2 PowerBuilder 9 PowerBuilder Native Interface(PBNI) PowerBuilder 9 PowerBuilder C++ Java PowerBuilder 9 PBNI PowerBuilder Java C++ PowerBuilder NVO / PowerBuilder C/C++ PowerBuilder 9.0 PowerBuilder Native

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

3kVAUPS UPS (Santak)C 3kVAUPS 1 (1) 1 1kVA2kVA C1k C2k C3k 1kVA 2kVA 3kVA 160276V 50Hz5% 220V 50Hz 2% 0.5% 110%(10s)130%(200ms) 36V 96V 12V/7.2Ah3 2V/6.5Ah8 2V/7.2Ah8 / 7/17 8/25 5/20 90% 8h 1m

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

K-848使用说明书

K-848使用说明书 K-848 光隔开关量输入输出接口卡使用说明书 (Ver 2.1 2008.09.02) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

技 术 文 件

技  术  文  件 技术文件 技术文件名称 :IAlert 接口使用说明 技术文件编号 : 版 本 :V1.0 共页 ( 包括封面 ) 拟制 审核 会签 标准化 批准 中兴通讯股份有限公司 XX 软件模块详细设计说明 版本号 修改记录 文件编号 版本号 拟制人 / 修改人 拟制 / 修改日期 1 V1.0 胡曦 2005-08-12 新建 更改理由 主要更改内容 ( 写要点即可 ) 注 1: 每次更改归档文件 ( 指归档到事业部或公司档案室的文件

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

概述

概述 OPC Version 1.6 build 0910 KOSRDK Knight OPC Server Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOS_Init...5 2.2.2 KOS_InitB...5 2.2.3

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

ART2030 SSI数据输出卡

ART2030 SSI数据输出卡 SSI-5S 分布式 SSI 接口卡 硬件使用说明书 产品研发部修订 目录 目录... 第一章功能概述... 第一节 产品应用... 第二节 主要指标... 第三节 板卡外形尺寸... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明... 第三章信号输入输出连接器和跳线器...4 第一节 SSI 信号采集输入连接器定义...4 第二节 SSI 信号仿真输出连接器定义...5

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

CHAPTER 1

CHAPTER 1 CHAPTER 1 1-1 System Development Life Cycle; SDLC SDLC Waterfall Model Shelly 1995 1. Preliminary Investigation 2. System Analysis 3. System Design 4. System Development 5. System Implementation and Evaluation

More information

19. 具 有 标 准 有 线 防 区 接 口 有 线 紧 急 按 钮 接 口 外 接 警 号 接 口 20. 电 源 交 直 流 自 动 切 换 : 配 高 效 长 寿 可 充 电 池 组, 平 常 涓 流 充 电, 交 流 停 电 自 动 转 换 三 GSM 联 网 报 警 编 程 操 作 GS

19. 具 有 标 准 有 线 防 区 接 口 有 线 紧 急 按 钮 接 口 外 接 警 号 接 口 20. 电 源 交 直 流 自 动 切 换 : 配 高 效 长 寿 可 充 电 池 组, 平 常 涓 流 充 电, 交 流 停 电 自 动 转 换 三 GSM 联 网 报 警 编 程 操 作 GS HT-110B-1(C 版 GSM) GSM/PSTN 双 网 防 盗 报 警 系 统 使 用 说 明 书 一 概 述 HT-110B-1(C 版 GSM)GSM/PSTN 双 网 防 盗 报 警 系 统, 吸 取 当 前 安 防 领 域 先 进 技 术, 采 用 美 国 进 口 原 装 芯 片 西 门 子 工 业 级 GSM 模 块, 兼 容 安 定 宝 Contact ID 通 信 协 议 该

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information