PCI2003硬件说明书

Size: px
Start display at page:

Download "PCI2003硬件说明书"

Transcription

1 ART2932 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订

2 北京阿尔泰科技发展有限公司 目录目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 AD 模拟量输入功能...1 第三节 DA 模拟量输出功能...2 第四节 DI 数字量输入功能...2 第五节 DO 数字量输出功能...2 第六节 定时计数功能...2 第七节 其他指标...2 第八节 板卡尺寸...3 第九节 产品安装核对表...3 第十节 安装指导...3 一 软件安装指导...3 二 硬件安装指导...3 第二章元件布局图及简要说明...4 第一节 主要元件布局图...4 第二节 主要元件功能说明...4 一 信号输入输出连接器...4 二 电位器...4 三 板基地址选择...5 四 状态灯...5 第三章信号输入输出连接器...6 第一节 模拟量信号输入输出连接器定义...6 第二节 数字量信号输入输出连接器定义...6 第四章各种信号的连接方法...8 第一节 AD 模拟量输入的信号连接方法...8 一 AD 单端输入连接方式...8 二 AD 双端输入连接方式...8 第二节 DA 模拟量输出的信号连接方法...9 第三节 DI 数字量输入的信号连接方法...9 第四节 DO 数字量输出的信号连接方法...9 第五节 时钟输入输出和触发信号连接方法...10 第六节 CNT 定时 / 计数器信号的连接方法...10 第七节 多卡同步的实现方法...10 第五章数据格式 排放顺序及换算关系...12 第一节 AD 模拟量输入数据格式及码值换算...12 一 AD 双极性模拟量输入的数据格式...12 二 AD 单极性模拟量输入数据格式...12 第二节 AD 单通道与多通道采集时的数据排放顺序...12 一 单通道...12 二 多通道...12 第三节 DA 模拟量输出数据格式及码值换算...13 一 DA 单极性输出时的数据格式...13 二 DA 双极性电压输出的数据格式

3 ART2932 数据采集卡硬件使用说明书版本 :6.021 第六章各种功能的使用方法 第一节 AD 触发功能的使用方法 一 AD 内触发功能 二 AD 外触发功能 第二节 AD 内时钟与外时钟功能的使用方法 一 AD 内时钟功能 二 AD 外时钟功能 第三节 AD 连续与分组采集功能的使用方法 一 AD 连续采集功能 二 AD 分组采集功能 第七章减法计数器功能 第八章地址分配 第九章产品的应用注意事项 校准 保修 第一节 注意事项 第二节 AD 模拟量输入的校准 第三节 DA 模拟量输出的校准 第四节 DA 使用说明 第五节 保修

4 北京阿尔泰科技发展有限公司 第一章功能概述 信息社会的发展, 在很大程度上取决于信息与信号处理技术的先进性 数字信号处理技术的出现改变了信息与信号处理技术的整个面貌, 而数据采集作为数字信号处理的必不可少的前期工作在整个数字系统中起到关键性 乃至决定性的作用, 其应用已经深入到信号处理的各个领域中 实时信号处理 数字图像处理等领域对高速度 高精度数据采集卡的需求越来越大 ISA 总线由于其传输速度的限制而逐渐被淘汰 我公司推出的 ART2932 数据采集卡综合了国内外众多同类产品的优点, 以其使用的便捷 稳定的性能 极高的性价比, 获得多家试用客户的一致好评, 是一款真正具有可比性的产品, 也是您理想的选择 第一节 产品应用本卡是一种基于 PC104 总线的数据采集卡, 可直接和计算机的 PC104 接口相连, 构成实验室 产品质量检测中心等各种领域的数据采集 波形分析和处理系统 也可构成工业生产过程监控系统 它的主要应用场合为 : 电子产品质量检测 信号采集 过程控制 伺服控制 第二节 AD 模拟量输入功能 转换器类型 :AD7321 输入量程 (InputRange):±10V ±5V( 默认 ) ±2.5V 0~10V 转换精度 :13 位 (Bit), 第 13 位为符号位 采样速率 (Frequency):1Hz~250KHz 说明 : 各通道实际采样速率 = 采样速率 / 采样通道数 模拟输入通道总数 :16 路单端,8 路双端 采样通道数 : 软件可选择, 通过设置首通道 (FirstChannel) 和末通道 (LastChannel) 来实现的说明 : 采样通道数 = LastChannel FirstChannel + 1 通道切换方式 : 首末通道顺序切换 数据读取方式 : 非空和半满查询方式 存储器深度 : 8K 字 ( 点 )FIFO 存储器 存储器标志 : 满 非空 半满 异步与同步 (ADMode): 可实现连续 ( 异步 ) 与分组 ( 伪同步 ) 采集 组间间隔 (GroupInterval): 软件可设置, 最小为采样周期 (1/Frequency), 最大为 3276us 组循环次数 (LoopsOfGroup): 软件可设置, 最小为 1 次, 最大为 255 次 时钟源选项 (ClockSource): 板内时钟和板外时钟软件可选 板内时钟输出频率 : 当前 AD 实际采样频率 触发模式 (TriggerMode): 软件内部触发和硬件后触发 ( 简称外触发 ) 触发类型 (TriggerType): 数字边沿触发和脉冲电平触发 触发方向 (TriggerDir): 负向 正向 正负向触发 触发源 (TriggerSource):ATR( 模拟触发信号 ) 和 DTR( 数字触发信号 ) 触发源 ATR 输入范围 : 低于低触发电平 (AO0), 高于高触发电平 (AO1) (AO1>AO0) 触发源 DTR 输入范围 : 标准 TTL 电平 AD 芯片转换时间 : 1.6uS 程控放大器类型 : 默认为 AD8251, 兼容 AD8250 AD8253 1

5 ART2932 数据采集卡硬件使用说明书版本 :6.021 程控增益 : 倍 (AD8251) 或 倍 (AD8250) 或 倍 (AD8253) 模拟输入阻抗 :10MΩ 放大器建立时间 :785nS(0.001%)(max) 非线性误差 :±1LSB( 最大 ) 系统测量精度 :0.01% 工作温度范围 :0 ~ +50 存储温度范围 :-20 ~ +70 第三节 DA 模拟量输出功能 转换器类型 :AD5724 输出量程 :0~5V 0~10V 0~10.8V ±5V ±10V ±10.8V 转换精度 :12 位 (Bit) 建立时间 :10μS 通道数 :4 路 非线性误差 :±1LSB( 最大 ) 输出误差 ( 满量程 ):±1LSB 工作温度范围 :0 ~ +50 存储温度范围 :-20 ~ +70 第四节 DI 数字量输入功能 通道数 :8 路 电气标准 :TTL 兼容 高电平的最低电压 :2V 低电平的最高电压 :0.8V 第五节 DO 数字量输出功能 通道数 :8 路 电气标准 :TTL 兼容 高电平的最低电压 :3.8V 低电平的最高电压 :0.44V 上电输出 : 低电平 第六节 定时计数功能 计数器通道个数 :3 个独立的减法计数器 计数器位数 :16 位 计数方式 :6 种计数方式软件可选 输入电气标准 : 低电平的最高电压为 0.8V, 高电平的最低电压为 2V 输出电气标准 : 低电平的最高电压为 0.5V, 高电平的最低电压为 2.4V 时钟源 (CLK): 频率范围为 1Hz~10MHz 门控 (GATE): 上升沿 高电平和低电平 计数器输出 (OUT): 高电平 低电平 第七节 其他指标 板载时钟振荡器 :40MHz 2

6 北京阿尔泰科技发展有限公司 第八节 板卡尺寸 90.3mm( 长 )*96mm( 宽 )*16mm( 高 ) 第九节 产品安装核对表打开 ART2932 板卡包装后, 你将会发现如下物品 : 1 ART2932 板卡一个 2 ART 软件光盘一张, 该光盘包括如下内容 : a) 本公司所有产品驱动程序, 用户可在 PC104 目录下找到 ART2932 驱动程序 ; b) 用户手册 (pdf 格式电子文档 ); 第十节 安装指导 一 软件安装指导 在不同操作系统下安装 ART2932 板卡的方法一致, 在本公司提供的光盘中含有安装程序 Setup.exe, 用户 双击此安装程序按界面提示即可完成安装 二 硬件安装指导 在硬件安装前首先关闭系统电源, 待板卡固定后开机, 开机后系统会自动弹出硬件安装向导, 用户可选择系统自动安装或手动安装 注意 : 不可带电插拔板卡 3

7 ART2932 数据采集卡硬件使用说明书版本 :6.021 第一节 主要元件布局图 第二章元件布局图及简要说明 第二节 主要元件功能说明请参考第一节中的布局图, 了解下面各主要元件的大体功能 一 信号输入输出连接器 P1: 模拟量信号输入输出连接器 P2: 数字量信号输入输出连接器连接器的详细说明请参考 信号输入输出连接器 章节 二 电位器 RP1:AD 模拟量输入零点调整 RP2:AD 模拟量输入满度调整 RP3:AO0 模拟量信号输出零点调整 RP4:AO0 模拟量信号输出满度调整 RP5:AO1 模拟量信号输出零点调整 RP6:AO1 模拟量信号输出满度调整 RP7:AO2 模拟量信号输出零点调整 RP8:AO2 模拟量信号输出满度调整 4

8 北京阿尔泰科技发展有限公司 RP9:AO3 模拟量信号输出零点调整 RP10:AO3 模拟量信号输出满度调整 以上电位器的详细说明请参考 产品的应用注意事项 校准 保修 章节 三 板基地址选择 ADDR1: 板基地址拨码开关 板基地址可设置成 200H~3C0H 之间可被 16 整除的二进制码, 板基地址 默认为 300H, 将占用基地址起的连续 28 个 I/O 地址 开关的第 位分别对应地址 A6 A7 A8 A9 是基地址选择开关, 第 位分别对应 的是 A2 A3 A4 A5, 为保留位 拨码开关 ADDR1 拨向标有 ON 的一侧表示高有效值为 1, 反向则反 之 板基地址选择开关 ADDR1 如下图 其基地址的配置方法为 : 地址位 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 X 为可配置位未用未用 x x x x 第 3 个十六进制位第 2 个十六进制位第 1 个十六进制位 注意 : 表中标识为 0 的位为固定值, 只有标识为 x 的位可以由 ADDR1 拨码开关改变, 因此用户要正确配置基地址, 就只须改变表中的相应位, 便可容易的产生的想要的基地址 比如说出厂默认基地址 300H 的配置, 只需将 ADDR1 的 A8 A9 位拨到 ON 如下图: A9 A8 A7 A6 A5 A4 A3 A ADDR1 ON 常用的基地址选择有 : 地址板基地址拨码开关图示地址板基地址拨码开关图示 A9 A8 A7 A6 A5 A4 A3 A2 A9 A8 A7 A6 A5 A4 A3 A2 200H ADDR1 240H ADDR1 ON ON A9 A8 A7 A6 A5 A4 A3 A2 A9 A8 A7 A6 A5 A4 A3 A2 280H ADDR1 2C0H ADDR1 ON ON A9 A8 A7 A6 A5 A4 A3 A2 A9 A8 A7 A6 A5 A4 A3 A2 300H ADDR1 340H ADDR1 ON ON A9 A8 A7 A6 A5 A4 A3 A2 A9 A8 A7 A6 A5 A4 A3 A2 380H ADDR1 3C0H ADDR1 ON ON 四 状态灯 FF:FIFO 溢出指示灯, 指示灯为亮状态表示 FIFO 溢出 HF:FIFO 半满指示灯, 指示灯为亮状态表示 FIFO 半满 EF:FIFO 非空指示灯, 指示灯为亮状态表示 FIFO 非空 5

9 ART2932 数据采集卡硬件使用说明书版本 :6.021 第一节 模拟量信号输入输出连接器定义 关于 34 芯插头 P1 的管脚定义 ( 图形方式 ) 第三章信号输入输出连接器 AO AO1 AO AO3 ATR AGND AGND AGND AI AI1 AI AI3 AI AI5 AI AI7 AI AI9 AI AI11 AI AI13 AI AI15 AGND 10 9 AGND AGND 8 7 AGND DTR 6 5 CLKOUT DGND 4 3 DGND DGND 2 1 DGND 关于 34 芯插头 P1 的管脚定义 ( 表格方式 ) 管脚信号名称 管脚特性 管脚功能定义 注释 AI0~AI15 Input AD 模拟量输入管脚, 分别对应于 16 个模拟单端通道, 当为双端时, 其 AI0~AI7 分别与 AI8~AI15 构成信号输入的正负两端, 即 AI0~AI7 接正端,AI8~AI15 接负端 AO0~AO3 Output DA 模拟量输出管脚, 对应 4 个模拟量输出通道 AGND GND 模拟信号地, 当输入输出模拟信号时最好用它作为参考地 DGND GND 数字信号地, 当输入输出数字信号时最好用它作为参考地 CLKOUT Output 板内时钟输出 ATR Input 模拟外触发信号输入, 参考地为 AGND DTR Input 数字触发信号输入, 参考地请使用 DGND 第二节 数字量信号输入输出连接器定义 关于 34 芯插头 P2 的管脚定义 ( 图形方式 ) 6

10 北京阿尔泰科技发展有限公司 +5V V DI0 3 4 DI1 DI2 5 6 DI3 DI4 7 8 DI5 DI DI7 DGND DGND DO DO1 DO DO3 DO DO5 DO DO7 DGND OUT DGND GATE0 CLK OUT1 GATE CLK1 OUT GATE2 CLK DGND CLK DGND 关于 34 芯插头 P2 的管脚定义 ( 表格方式 ) 管脚信号名称 管脚特性 管脚功能定义 注释 DI0~DI7 Input 8 个数字量输入管脚 DO0~DO7 Output 8 个数字量输出管脚 CLK Input 板外时钟输入, 参考地请使用 DGND GATE0~2 Input 3 个定时 / 计数器门控输入, 参考地请使用 DGND CLK0~2 Input 3 个定时 / 计数器时钟源输入, 参考地请使用 DGND 当计数时钟使用外部时钟时, 计数时钟的频率范围为不超过 20MHz 默认情况计数时钟为板内时钟 LOCAL_CLK, 频率范围为 620Hz~ 20MHz OUT0~2 Output 3 个定时 / 计数器输出, 参考地请使用 DGND +5V Output 输出 5V 电源 DGND GND 数字信号地, 当输入输出数字信号时最好用它作为参考地 7

11 ART2932 数据采集卡硬件使用说明书版本 :6.021 第四章各种信号的连接方法第一节 AD 模拟量输入的信号连接方法 一 AD 单端输入连接方式单端方式是指使用单个通道实现某个信号的输入, 同时多个信号的参考地共用一个接地点 此种方式主要应用在干扰不大, 通道数相对较多的场合 可按下图连接成模拟电压单端输入方式,16 路模拟输入信号连接到 AI0~AI15 端, 其公共地连接到 AGND 端 信号输入输出连接器 AI0 AI1 AI2 AI15 AGND 被测现场的模拟信号 现场设备 现场设备 二 AD 双端输入连接方式双端输入方式是指使用正负两个通路实现某个信号的输入, 该方式也叫差分输入方式 此种方式主要应用在干扰较大, 通道数相对较少的场合 单 双端方式的实现由软件设置, 请参考 ART2932 软件说明书 ART2932 板可按下图连接成模拟电压双端输入方式, 可以有效抑制共模干扰信号, 提高采集精度 8 路模拟输入信号正端接到 AI0~AI7 端, 其模拟输入信号负端接到 AI8~AI15 端, 现场设备与 ART2932 板共用模拟地 AGND 信号输入输 AI0 AI8 AI1 AI9 现场模拟信号 AGND AGND + 现场设备 _ + 现场设备 _ 出连接器 AI7 AI15 AGND + _ 现场设备 AGND 8

12 北京阿尔泰科技发展有限公司 第二节 DA 模拟量输出的信号连接方法 信号输入输出连接器 AO0 AO1 AO3 AGND 控制现场的模拟信号 现场设备 现场设备 第三节 DI 数字量输入的信号连接方法 信号输入输出连接器 DI0 DI1 DI2 DI7 DGND 被测现场开关的信号 现场开关设备 现场开关设备 第四节 DO 数字量输出的信号连接方法 信号输入输出连接器 DO0 DO1 DO2 DO7 DGND 控制现场开关的信号 现场开关设备 现场开关设备 9

13 ART2932 数据采集卡硬件使用说明书版本 :6.021 第五节 时钟输入输出和触发信号连接方法 信号输入输出连接器 CLKOUT 时钟输出信号 CLK 时钟输入信号 DTR 数字触发信号 ATR 模拟触发信号 AGND DGND 第六节 CNT 定时 / 计数器信号的连接方法 计数器输入输出连接器 OUT 计数器输出信号 CLK 外部时钟输入信号 GATE 门控输入信号 DGND 第七节 多卡同步的实现方法 ART2932 多卡同步可以有三种方案, 第一 : 采用主从卡级联, 第二 : 采用共同的外触发, 第三 : 采用共同的外时钟 采用主从卡级联的方案时, 主卡一般使用内时钟源模式, 而从卡使用外时钟源模式, 待主卡 从卡按相应的时钟源模式被初始化完成后, 先启动所有从卡, 由于主卡还没有被启动没有输出时钟信号, 所以从卡进入等待状态, 直到主卡被启动的同时所有的从卡被启动, 即实现了多卡同步启动的功能 当您需要的采样通道数大于一个卡的通道数时, 您可考虑使用多卡级连的方式扩展通道数量 10

14 北京阿尔泰科技发展有限公司 CLKOUT 主卡 CLK 从卡 1 CLK 从卡 2 多卡级联的连接方法 采用共同的外触发的方案时, 设置所有的参数请保持一致 首先设置每块卡的硬件参数, 并且都使用外触发 (ATR 或者 DTR), 连接好要采集的信号, 通过 P1 接口的 ATR( 需要设置触发电平 ) 或 DTR 管脚接入触发信号, 然后点击 开始数据采集 按钮, 这时采集卡并不采集, 等待外部触发信号, 当每块采集卡都进入等待外部触发信号的状态下, 使用同一个外部触发信号同时启动 AD 转换, 达到同步采集的效果 连接方法如下 : ATR/DTR 外部触发信号 ART2932 ATR/DTR ART2932 ATR/DTR ART2932 外触发同步采集的连接方法 注意 : 使用 DTR 时请使用内时钟模式 采用共同的外时钟的方案时, 设置所有的参数请保持一致 首先设置每块卡的硬件参数, 并且都使用外时钟, 连接好要采集的信号, 然后点击 开始数据采集 按钮, 这时采集卡并不采集, 等待外部时钟信号 ; 当每块采集卡都进入等待外部时钟信号的状态下, 接入外部时钟信号同时启动 AD 转换, 达到同步采集的效果 连接方法如下 : CLK 外部时钟信号 ART2932 CLK ART2932 CLK ART2932 外时钟同步采集的连接方法 11

15 ART2932 数据采集卡硬件使用说明书版本 :6.021 第一节 AD 模拟量输入数据格式及码值换算 一 AD 双极性模拟量输入的数据格式 采用原码方式, 如下表所示 : 第五章数据格式 排放顺序及换算关系 输入电压值 AD 原始码 ( 二进制 ) AD 原始码 ( 十六进制 ) AD 原始码 ( 十进制 ) 正满度 FFF 8191 正满度 -1LSB FFE 8190 中间值 +1LSB 中间值 ( 零点 ) 中间值 -1LSB FFF 4095 负满度 +1LSB 负满度 注明 : 当输入量程为 ±10V ±5V ±2.5V 时, 即为双极性输入 ( 输入信号允许在正负端范围变化 ), 下面 以标准 C( 即 ANSI C) 语法公式说明如何将原码数据换算成电压值 : ±10V 量程 :Volt = ( /8192) * (ADBuffer[0] &0x1FFF) ; ±5V 量程 :Volt = ( /8192) * (ADBuffer[0] &0x1FFF) ; ±2.5V 量程 :Volt = ( /8192) * (ADBuffer[0]&0x1FFF) ; 二 AD 单极性模拟量输入数据格式 采用原码方式, 如下表所示 : 输入电压值 AD 原始码 ( 二进制 ) AD 原始码 ( 十六进制 ) AD 原始码 ( 十进制 ) 正满度 FFF 8191 正满度 -1LSB FFE 8190 中间值 +1LSB 中间值 中间值 -1LSB FFF 4095 零点 +1LSB 零点 注明 : 当输入量程为 0~10V 时, 即为单极性输入 ( 输入信号只允许在正端范围变化 ), 下面以标准 C( 即 ANSI C) 语法公式说明如何将原码数据换算成电压值 : 0~10V 量程 :Volt = ( /8192) * (ADBuffer[0] &0x1FFF); 第二节 AD 单通道与多通道采集时的数据排放顺序 一 单通道 当采样通道总数 (ADPara.LastChannel ADPara.FirstChannel + 1) 等于 1 时 ( 即首通道等于末通道 ), 则为 单通道采集 二 多通道 当采样通道总数 (ADPara.LastChannel ADPara.FirstChannel + 1) 大于 1 时 ( 即首通道不等于末通道 ), 则 为多通道采集 ( 注意末通道必须大于或等于首通道 ) 举例说明, 假设 AD 的以下硬件参数取值如下 : ADPara. FirstChannel = 0; ADPara. LastChannel = 2; 第一个字属于通道 AI0 的第 1 个点, 12

16 北京阿尔泰科技发展有限公司第二个字属于通道 AI1 的第 1 个点, 第三个字属于通道 AI2 的第 1 个点, 第四个字属于通道 AI0 的第 2 个点, 第五个字属于通道 AI1 的第 2 个点, 第六个字属于通道 AI2 的第 2 个点, 第七个字属于通道 AI0 的第 3 个点, 第八个字属于通道 AI1 的第 3 个点, 第九个字属于通道 AI2 的第 3 个点 则采样的 AD 数据在 ADBuffer[ ] 缓冲区中的排放顺序为 : 其他 情况依此类推 第三节 DA 模拟量输出数据格式及码值换算 一 DA 单极性输出时的数据格式 如下表如示 : 输入 DA 原始码 ( 二进制 ) DA 原始码 ( 十六进制 ) DA 原始码 ( 十进制 ) 正满度 FFF 4095 正满度 -1LSB FFE 4094 中间值 +1LSB 中间值 中间值 -1LSB FF 2047 零点 +1LSB 零点 注明 : 当输出量程为 0~5V 0~10V 0~10.8V 时, 即为单极性输出 假定输出的电压值为 Volt( 单位 为 mv), 写向设备的 DA 原始码为 ndadata, 则换算关系如下 :( 注意上限不能超过 4095) 0~5V 量程时 :ndadata = Volt / ( /4096); 0~10V 量程时 :ndadata = Volt / ( /4096); 0~10.8V 量程时 :ndadata = Volt / ( /4096); 二 DA 双极性电压输出的数据格式 如下表所示 : 输入 DA 原始码 ( 二进制 ) DA 原始码 ( 十六进制 ) DA 原始码 ( 十进制 ) 正满度 FFF 4095 正满度 -1LSB FFE 4094 中间值 +1LSB 中间值 ( 零点 ) 中间值 -1LSB FF 2047 负满度 +1LSB 负满度 注明 : 当输出量程为 ±5V ±10V ±10.8V 时, 即为双极性输出 假定输出的电压值为 Volt( 单位为 mv), 写向设备的 DA 原始码为 ndadata, 则换算关系如下 :( 注意上限不能超过 4095) ±5V 量程时 :ndadata = Volt / ( /4096) ; ±10V 量程时 :ndadata = Volt / ( /4096) ; ±10.8V 量程时 :ndadata = Volt / ( /4096) ; 13

17 ART2932 数据采集卡硬件使用说明书版本 :6.021 第六章各种功能的使用方法第一节 AD 触发功能的使用方法 一 AD 内触发功能在初始化 AD 时, 若 AD 硬件参数 ADPara. TriggerMode = ART2932_TRIGMODE_SOFT 时, 则可实现内触发采集 在内触发采集功能下, 调用 StartDeviceProAD 函数启动 AD 时,AD 即刻进入转换过程, 不等待其他任何外部硬件条件 也可理解为软件触发 具体过程请参考以下图例, 图中 AD 工作脉冲的周期由设定的采样频率 (Frequency) 决定 AD 启动脉冲由软件接口函数 StartDeviceProAD 产生 启动使能 转换脉冲 AD 在启动使能后 产生第一个转换脉冲 图 6.1 内触发图例 二 AD 外触发功能在初始化 AD 时, 若 AD 硬件参数 ADPara. TriggerMode = ART2932_TRIGMODE_POST 时, 则可实现外触发采集 在外触发采集功能下, 调用 StartDeviceProAD 函数启动 AD 时,AD 并不立即进入转换过程, 而是要等待外部硬件触发源信号符合指定条件后才开始转换 AD 数据, 也可理解为硬件触发 关于在什么条件下触发 AD, 由用户选择的触发模式 (TriggerMode) 触发类型(TriggerType) 触发方向(TriggerDir) 和触发源 (TriggerSource) 共同决定 触发源分为 ATR 模拟触发和 DTR 数字触发 ( 一 ) ATR 模拟触发功能触发信号为模拟信号时使用 ATR 触发, 这种触发方式需要设置触发电平 (TrigLevelVolt), 触发电平由 2 路 DA(AO0 和 AO1) 输出的电压共同决定, 且需要设置两路电压的大小关系为 AO1>AO0, 工作原理同施密特触发器工作原理类似, 详见下文 触发类型分为边沿触发和脉冲触发 : (1) 边沿触发功能边沿触发就是捕获触发源信号相对于触发电平的信号变化特征来触发 AD 转换 ADPara.TriggerDir = ART2932_TRIGDIR_NEGATIVE 时, 即选择触发方向为负向触发 即当 ATR 触发源信号从大于 AO1 的输出电压变化至小于 AO0 的输出电压时,AD 即刻进入转换过程, 在此情况下, ATR 的后续状态变化并不会影响 AD 采集 如下图 6.2 所示 : 14

18 北京阿尔泰科技发展有限公司 ATR/DTR AO1 的输出电压 AO0 的输出电压 ATR AD 启动前该下降沿无效 AD 工作脉冲 AD 启动后触发前的等待时段 AD 触发后的第一个脉冲 AD 启动后第一次负向 有效, AD 被触发 图 6.2 负向触发图例 ADPara.TriggerDir = ART2932_TRIGDIR_POSITIVE 时, 即选择触发方向为正向触发 即当 ATR 触发源信号从小于 AO0 的输出电压变化至大于 AO1 的输出电压时,AD 即刻进入转换过程, 在此情况下,ATR 的后续状态变化并不会影响 AD 采集 AD 启动脉冲 AO1 的输出电压 AO0 的输出电压 ATR AD 启动前该上升沿无效 AD 工作脉冲 AD 启动后触发前的等待时段 AD 触发后的第一个脉冲 AD 启动后第一次正向 有效, AD 被触发 图 6.3 正向触发图例 ADPara.TriggerDir = ART2932_TRIGDIR_POSIT_NEGAT 时, 即选择触发方向为正负向触发 即当 ATR 触发源信号从大于 AO1 的输出电压变化至小于 AO0 的输出电压时, 或者 ATR 触发源信号从小于 AO0 的输出电压变化至大于 AO1 的输出电压时,AD 即刻进入转换过程, 在此情况下,ATR 的后续状态变化并不会影响 AD 采集 (2) 脉冲电平触发功能脉冲电平触发就是捕获触发源信号大于或小于触发电平作为条件来触发 AD 转换 该功能可以应用在地震波 馒头波等信号的有效部分采集 ADPara.TriggerDir = ART2932_TRIGDIR_NEGATIVE( 负向触发 ) 时, 即选择触发方向为负向触发 即当 ATR 触发源信号小于 AO0 的输出电压时,AD 即刻进入转换过程, 一旦触发源信号大于 AO1 的输出电压时, 自动停止采集 ; 当再小于 AO0 的输出电压时,AD 再次进入转换过程, 即只采集小于 AO0 输出电压的波形 如下图 6.4 所示 : 15

19 ART2932 数据采集卡硬件使用说明书版本 :6.021 AD 启动脉冲 AO1 的输出电压 AO0 的输出电压 ATR AD 工作脉冲 AD 启动后触发前的等待时段 AD 触发后的第一个脉冲 AD 启动后第一次负向 有效, AD 被触发 图 6.4 负向触发图例 ADPara.TriggerDir = ART2932_TRIGDIR_POSITIVE( 正向触发 ) 时, 即选择触发方向为正向触发 即当 ATR 触发源信号大于 AO1 的输出电压时,AD 即刻进入转换过程, 一旦触发源信号小于 AO0 的输出电压时, 自动停止采集 ; 当再大于 AO1 的输出电压时,AD 再次进入转换过程, 即只采集大于 AO1 输出电压的波形 如下图 6.5 所示 :( 触发信号与采集信号为同一个三角波信号 ) AD 启动脉冲 AO1 的输出电压 AO0 的输出电压 ATR AD 启动后 等待触发 AD 采集 AD 等待 AD 采集状态 图 6.5 正向触发图例 当 ADPara.TriggerDir = ART2932_TRIGDIR_POSIT_NEGAT 时, 即选择触发方向为正负向触发 此时它与 内部软件触发同理 ( 二 ) DTR 数字触发功能触发信号为数字信号 (TTL 电平 ) 时使用 DTR 触发, 工作原理详见下文 触发类型分为边沿触发和脉冲触发 : (1) 边沿触发功能 ADPara.TriggerDir = ART2932_TRIGDIR_NEGATIVE 时, 即选择触发方向为负向触发 即当 DTR 触发源信号由高电平变为低电平时 ( 也就是出现下降沿信号 ) 产生触发事件,AD 即刻进入转换过程, 其后续变化对 AD 采集无影响 16

20 北京阿尔泰科技发展有限公司 AD 启动脉冲 DTR 触发信号 AD 启动前该 下降沿无效 AD 启动后触发 前的等待时段 AD 启动后第一个下降 沿有效, AD 被触发 AD 工作脉冲 触发后 AD 第 一个工作脉冲 图 6.6 下降沿触发图例 ADPara.TriggerDir = ART2932_TRIGDIR_POSITIVE 时, 即选择触发方向为正向触发 即当 DTR 触发源信号由低电平变为高电平时 ( 也就是出现上升沿信号 ) 产生触发事件,AD 即刻进入转换过程, 其后续变化对 AD 采集无影响 ADPara.TriggerDir = ART2932_TRIGDIR_POSIT_NEGAT 时, 即选择触发方向为上正负向触发 它的特点是只要 DTR 出现高低电平的跳变时 ( 也就是出现上升沿或下降沿 ) 产生触发事件 AD 即刻进入转换过程, 其后续变化对 AD 采集无影响 此项功能可应用在只要外界的某一信号变化时就采集的场合 (2) 脉冲电平触发功能 ADPara.TriggerDir = ART2932_TRIGDIR_NEGATIVE( 负向触发 ) 时, 即选择触发方向为负向触发 当 DTR 触发信号为低电平时,AD 进入转换过程, 一旦触发信号为高电平时,AD 自动停止转换, 当触发信号再为低电平时,AD 再次进入转换过程, 即只转换触发信号为低电平时数据 ADPara.TriggerDir = ART2932_TRIGDIR_POSITIVE( 正向触发 ) 时, 即选择触发方向为正向触发 当 DTR 触发信号为高电平时,AD 进入转换过程, 一旦触发信号为低电平时,AD 自动停止转换, 当触发信号再为高电平时,AD 再次进入转换过程, 即只转换触发信号为高电平时数据 AD 启动脉冲 DTR 触发源 AD 启动前该 高电平无效 AD 工作脉冲 AD 启动后触发前的等待时段 AD 触发后的第一个脉冲 暂停工作 图 6.7 高电平触发图例 当 ADPara.TriggerDir = ART2932_TRIGDIR_POSIT_NEGAT 时, 即选择触发方向为正负向触发 它的原理与内部软件触发同理 17

21 ART2932 数据采集卡硬件使用说明书版本 :6.021 第二节 AD 内时钟与外时钟功能的使用方法 一 AD 内时钟功能 内时钟功能是指使用板载时钟振荡器经板载逻辑控制电路根据用户指定的分频数分频后产生的时钟信号 去触发 AD 定时转换 要使用内时钟功能应在软件中置硬件参数 ADPara.ClockSouce= ART2932_CLOCKSRC_IN 该时钟的频率在软件中由硬件参数 ADPara.Frequency 决定 如 Frequency = , 则表示 AD 以 Hz 的频率工作 ( 即 100KHz,10uS/ 点 ) 二 AD 外时钟功能 外时钟功能是指使用板外的时钟信号来定时触发 AD 进行转换 该时钟信号由连接器 P1 的 CLKIN 脚输入 提供 板外的时钟可以是另外一块 ART2932 的时钟输出 (P1 的 CLKOUT) 提供, 也可以是其他设备如时钟频 率发生器等提供 要使用外时钟功能应在软件中置硬件参数 ADPara.ClockSouce = ART2932_CLOCKSRC_OUT 在连续采集模式下,AD 转换的频率即为外时钟的频率 ; 在分组采集模式下, 由外时钟的上升沿触发新的一组开始采集, 而 AD 转换的频率为板内时钟的频率 ( 即硬件参数 ADPara.Frequency 决定的频率 ) 第三节 AD 连续与分组采集功能的使用方法一 AD 连续采集功能连续采集 ( 异步采集 ) 功能是指 AD 在采样过程中两个通道间的采样时间相等, 采集过程中不停顿, 连续不不间断的采集数据 使用连续采集功能时相应的在软件中置硬件参数 ADPara.ADMode = ART2932_ADMODE_SEQUENCE 例如 : 在内时钟模式下, 置采样频率 ADPara.Frequency = Hz( 采样周期为 10uS), 则 AD 在 10uS 内转换完第一个通道的数据后下一个 10uS 紧接着转换第二个通道, 也就是每两个通道的数据点间隔 10uS, 以此类推, 见图 6.8 外部信号周期 频率计算公式内时钟模式下 : 外部信号频率 = AD 采样频率 /( 一个信号周期的点数 通道总数 ) 外部信号周期 = 1 / 外部信号频率外时钟模式下 : 外部信号频率 = 外时钟频率 /( 一个信号周期的点数 通道总数 ) 外部信号周期 = 1 / 外部信号频率 启动使能 转换脉冲 a 图 6.8 内时钟模式下的连续采集 说明 : a 采样周期 二 AD 分组采集功能 分组采集 ( 伪同步采集 ) 功能是指 AD 在采样过程中, 组内各通道以内时钟的采样频率进行转换, 每两组 之间有一定的等待时间, 这段时间称为组间间隔 组循环次数是指在同一组内每个通道循环采集的次数 在 18

22 北京阿尔泰科技发展有限公司 内时钟和固定频率的外时钟模式下, 组与组之间的时间称为组周期 这种采集模式下的转换过程为 : 组内各通道转换完成后暂停一段时间 ( 即组间间隔 GroupInterval), 再接着转换下一组, 依次重复下去, 所以称为分组采集 该功能的应用目的是在相对较慢的采集频率下, 尽可能保证各个通道间的时间差越小来实现更小的相位差, 从而保证通道间的同步性, 故亦称为伪同步采集功能 组内采样频率越高, 组间间隔时间越长, 信号相对同步性就越好 组内采样频率由 ADPara.Frequency 决定, 组循环次数由 ADPara.LoopsOfGroup 决定, 组间间隔由 ADPara. GroupInterval 决定 在分组功能下分为内时钟模式与外时钟模式 在内时钟模式下, 组周期由内时钟的采样周期 采样通道总数 组循环次数和组间间隔共同决定, 每一个组周期 AD 就采集一组数据 ; 在外时钟模式下, 外时钟周期 内时钟采样周期 采样通道总数 组循环次数 + AD 芯片转换时间, 由外时钟控制触发 AD 采集数据 外时钟模式分为固定频率外时钟模式和不固定频率外时钟模式 在固定频率外时钟模式下, 组周期是外时钟的采样周期 在分组功能下, 每个组周期内采集的信号次数 ( 即每组内采集信号的脉冲个数 ) 的计算公式为 : 组周期采样次数 = 采样通道总数 组循环次数 ( 一 ) 内时钟模式外部信号频率的计算公式如下 : 组周期 = 内时钟采样周期 采样通道总数 组循环次数 + AD 芯片转换时间 + 组间间隔外部信号周期 = ( 信号周期点数 / 组循环次数 ) 组周期外部信号频率 = 1 / 外部信号周期 公式注释 : 内时钟采样周期 = 1 / (ADPara.Frequency) 采样通道总数 = ADPara.LastChannel - ADPara.FirstChannel + 1 组循环次数 = ADPara.LoopsOfGroup AD 芯片转换时间 = 见 AD 模拟量输入功能 参数组间间隔 = ADPara.GroupInterval 信号周期点数 = 在测试程序中以波形信号显示, 用鼠标分别测量一个信号周期的起始点和终止点的点数, 再将测得的点数相减即为信号周期点数 点数显示在测试程序左下方的 偏移位置 栏中 在内时钟模式下举例, 例如 : 采集两个通道 0 1, 那么 0 和 1 通道就组成一组 采样频率 (Frequency) = Hz( 周期为 a = 10uS), 组循环次数为 1, 组间间隔 (GroupInterval) c = 50uS, 那么组周期采样次数 = 2 1, 采集过程是先采集第一组数据, 包括 0 通道的一个数据和 1 通道的一个数据, 这两个数据分别用 10uS, 转换完两个通道的数据需要 20uS, 经过一个 AD 芯片的转换时间 (b) 后 AD 自动停止进入等待状态直到 50uS 的组间间隔结束后, 便启动下一组, 开始转换 0 和 1 通道数据, 然后再进入等待状态, 就这样依次转换下去, 如下图所示 : 启动使能 转换脉冲 a b c a d 内时钟模式下组循环次数为 1 的分组采集 19

23 ART2932 数据采集卡硬件使用说明书版本 :6.021 说明 : a 内时钟采样周期 b AD 芯片转换时间 c 组间间隔 d 组周期 将组循环次数变为 2, 那么组周期采样次数 = 2 2 = 4, 采集过程是先采集第一组数据, 包括 0 通道的两个数据和 1 通道的两个数据, 再采集第二组数据, 转换的顺序为 , 这四个数据分别用 10uS, 转换完两个通道的四个数据需要 40uS, 经过一个 AD 芯片的转换时间后 AD 自动停止进入等待状态直到 50uS 的组间间隔结束后, 便启动下一组, 开始转换 0 和 1 通道数据, 然后再进入等待状态, 就这样依次转换下去, 如下图所示 : 启动使能 转换脉冲 a b c a d 内时钟模式下组循环次数为 2 的分组采集 说明 : a 内时钟采样周期 b AD 芯片转换时间 c 组间间隔 d 组周期内时钟的分组采集规律以此类推 ( 二 ) 外时钟模式在外时钟模式下, 对外时钟的要求是 : 外时钟周期 内时钟采样周期 采样通道总数 组循环次数 + AD 芯片转换时间, 否则在组内转换时间内出现的外时钟沿会被忽略掉 并且注意, 在外时钟模式下, 组间间隔无效 外部信号频率的计算公式如下 : 固定频率的外时钟下 : 组周期 = 外时钟周期外部信号周期 =( 信号周期点数 / 组循环次数 ) 组周期外部信号频率 = 1 / 外部信号周期 公式注释 : 组循环次数 = ADPara.LoopsOfGroup 信号周期点数 = 在测试程序中以波形信号显示, 用鼠标分别测量一个信号周期的起始点和终止点的点数, 再将测得的点数相减即为信号周期点数 点数显示在测试程序左下方的 偏移位置 栏中 在固定频率外时钟模式下举例, 例如 : 采集两个通道 0 1, 那么 0 和 1 通道就组成一组 采样频率 (Frequency) = Hz( 周期为 a = 10uS), 组循环次数为 2, 那么, 组周期采样次数 = 2 2 = 4, 采集过程是先采集第一组数据, 包括 0 通道的两个数据和 1 通道的两个数据, 再采集第二组数据, 转换的顺序为 , 这四 20

24 北京阿尔泰科技发展有限公司 个数据分别用 10uS, 转换完两个通道的四个数据需要 40uS, 经过一个 AD 芯片的转换时间 (b) 后 AD 自动停止 进入等待状态直到下一个外时钟的边沿触发 AD 进行下一组采集, 这样依次转换下去, 如下图所示 : 启动使能 外时钟脉冲 转换脉冲 在启动脉冲到来之前 a b d 外时钟脉冲被忽略 固定频率外时钟模式下的分组 说明 : a 内时钟采样周期 b AD 芯片转换时间 d 组周期 ( 外时钟周期 ) 在不固定频率外时钟模式下举例, 原理同固定频率外时钟模式下的分组 在这种模式下, 可以由用户控制任意的通道和任意的数据个数 用户将控制信号接入本卡的时钟输入端 (CLKIN), 设定需要的采样通道和组循环次数 当有外时钟信号时就采集用户设定的一组数据 由于外时钟频率不固定, 外时钟周期大小不一致, 但要满足 : 外时钟周期 内时钟采样周期 采样通道总数 组循环次数 + AD 芯片转换时间, 否则在组内转换时间内出现的外时钟沿会被忽略掉 启动使能 外时钟脉冲 转换脉冲 a b 不固定频率外时钟模式下的分组 说明 : a 内时钟采样周期 b AD 芯片转换时间 21

25 ART2932 数据采集卡硬件使用说明书版本 :6.021 第七章减法计数器功能 方式 0 计数结束产生中断当采用该方式工作时, 当赋初值后, 若门控信号 GATE 为高电平时, 计数器马上开始作减 1 计数, 计数器输出 OUT 变成低电平, 当计数结束即计数器的值变为 0 时, 计数器输出 OUT 变成高电平, 并且一直保持到重新装入初值或复位时为止 如果对正在做计数的计数器装入一个新值, 则计数器又从新装入的计数值开始, 重新作减量计数 可用门控端 GATE 控制计数, 当 GATE=0 时, 禁止计数, 当 GATE=1 时, 允许计数 输出端 OUT 由低变高可以用来作为中断请求信号 时序图如图 1 所示 图 1 方式 1 可编程单次脉冲方式该方式要在门控信号 GATE 作用下工作 当装入计数初值 n 之后, 输出 OUT 变成高电平, 要等 GATE 有上边沿时开始计数, 此时输出 OUT 变成低电平, 当计数结束即计数到 0 时, 输出 OUT 又变成高电平, 即输出单次脉冲的宽度由装入的计数初值 n 来决定 如当前操作还未完, 又有一次 GATE 上升沿时, 则停止当前计数, 又重新从 n 开始计数, 这时输出单次脉冲就被加宽 当计数器减量计数未到零时, 又装入一个新的计数值 n1, 则这个新值, 只有当 GATE 上升沿时, 计数器才从 n1 开始计数 时序图如图 2 所示 图 2 22

26 北京阿尔泰科技发展有限公司方式 2 频率发生器方式设置此方式后, 计数器装入初始值 n, 从 (n-1) 开始计数,OUT 变高电平, 减到 0 时 OUT 变低电平 经过一个 CLK 周期,OUT 恢复高电平, 且计数器又自动装入初值 n, 重新从 (n-1) 开始计数 因此输出端将不断输出负脉冲, 其宽度等于一个时钟周期, 两负脉冲间的时钟个数等于计数器装入的初始值 当 GATE=0 时, 禁止计数, 当 GATE=1 时, 允许计数 若计数中改变初值, 下次有效 时序图如图 3 所示 图 3 方式 3 方波频率发生器方式与方式 2 类似, 当装入一个计数器初值 n 后, 从 (n-1) 开始计数, 在 GATE 信号为高电平时启动计数, 定时 / 计数器此时作减 1 计数, 大于计数初值的半值时, 输出 OUT 一直保持高电平, 而在小于计数初值的半值时, 输出 OUT 就变成低电平 若计数初值 n 为偶数, 输出为 1:1 的方波 ; 若计数初值 n 为奇数, 则在前 (n+1) /2 个计数期间, 输出保持高电平 ; 在后 (n-1)/2 个计数期间, 输出保持低电平, 即 OUT 输出的高电平比低电平多一个时钟周期 若计数中改变初值, 下次有效 当 GATE=0 时, 禁止计数, 当 GATE=1 时, 允许计数 时序图如图 4 所示 图 4 方式 4 软件触发选通方式当采用该方式工作时, 当装入一个计数器初值 n 后便开始计数, 输出 OUT 即变为高电平, 当计数到 0 后 ( 即计数结束 ), 便立即在输出端送出一个宽度等于一个时钟周期的负脉冲 如果在一次计数期间, 装入了一个新的计数值, 则立即有效 当 GATE=0 时, 禁止计数 ; 当 GATE=1 时, 允许计数 时序图如图 5 所示 23

27 ART2932 数据采集卡硬件使用说明书版本 :6.021 图 5 方式 5 硬件触发选通方式当采用该方式工作时, 在 GATE 信号的上升沿才启动计数器开始计数 ( 所以称之为硬件触发 ), 输出 OUT 一直保持高电平, 当计数到 0 时, 输出一个宽度等于时钟周期的负脉冲 此后可用 GATE 信号的上升沿重新触发, 便又从初值开始计数, 计数期间, 输出又一直保持高电平 当计数器减量计数未到零时, 又装入一个新的计数值 n1, 则这个新值, 只有当 GATE 上升沿时, 计数器才从 n1 开始计数 时序图如图 6 所示 图 6 24

28 北京阿尔泰科技发展有限公司 地址分配 = 基地址 + 偏移地址 基地址的选择请参考 板基地址选择 章节 第八章地址分配 ART2932 的地址分配表 : 偏移地址 读操作 写操作 基地址 +0X00 D[12:0]: 读 FIFO 中 AD 数据 D[15:13]: 无效 D[3:0]: 首通道 D[7:4]: 末通道 D[9:8]:=00b:1 倍增益 =01b:2 倍增益 =10b:4 倍增益 =11b:8 倍增益 D[15:10]: 保留 基地址 +0X02 FIFO 状态 : D[0]:EF =1: 表示 FIFO 非空 =0: 表示 FIFO 已空 D[1]:HF =1: 表示 FIFO 未半满 =0: 表示 FIFO 已半满 D[2]:FF =1: 表示 FIFO 未溢出 =0: 表示 FIFO 已溢出 触发模式 : D[0]: 采样方式 0: 连续采集 1: 分组采集 D[1]: 触发方式 0: 软件触发 1: 硬件触发 D[2]: 触发源选择 1: 数字触发 (DTR) 0: 模拟触发 (ATR) ( 低于触发电平 AO0, 高于触发电平 AO1,AO1 > AO0) D[3]: 触发类型 0: 边沿触发 1: 电平触发 D[5:4]: 触发方向边沿触发时 : 00: 下降沿触发 01: 上升边沿触发 10/11: 上下边沿均触发电平触发时 : 00: 低电平触发 01: 高电平触发 10/11: 高低电平触发 D[6]: 时钟源 0: 内时钟 1: 外时钟 D[7]: 采样时钟输出 0: 禁止外时钟输出 1: 允许外时钟输出 D[15:8]: 保留 基地址 +0X04 D[15:0]: 设置 AD 采样频率, AD 采样 回读 周期计数值 = 晶振频率 (2MHz)/ AD 采样频率 ( 最高采样频率 500K) 基地址 +0X06 回读 D[15:0]: 输入量程控制先写固定值 :0x8020 再写 25

29 ART2932 数据采集卡硬件使用说明书版本 :6.021 D[0]:= 0: 单端 = 1: 双端 D[10:1]= ( 固定值 ) D[12:11]: 输入范围 : = 00:±10V = 01:±5V = 10:±2.5V = 11:0~10V D[15:13] = 101b( 固定值 ) 基地址 +0X08 回读 D[15:0]: 组间间隔 (20M 分频 ) 基地址 +0X0A 回读 D[7:0]: 组循环次数 D[15:8]: 保留 基地址 +0X0C 回读 D[0]:AD 使能 0: 禁止 AD 1: 使能 AD D[15:1]: 保留 基地址 +0X0E 回读 D[7:0]: 触发灵敏度 D[15:8]: 保留 基地址 +0X10 D[15:0]: 硬件版本号低 16 位 清 FIFO 基地址 +0X12 D[7:0]: 硬件版本号高 8 位 基地址 +0X14 回读 写 DA 寄存器 [15-0]:DA 为 12 位必须先配置电源寄存器写 ( 只写一次 ):0x001F 1 DA 输出量程寄存器 [D15-D3]: 保留 [D2-D0]: 量程输出 = 000:0~5V = 001:0~10V = 010:0~10.8V = 011:±5V = 100:±10V = 101:±10.8V 2 DA 通道选择和数据输出寄存 [D15-D4] 为 12 位 DA 数据 [D3-D0] 保留 基地址 +0X16 回读 ( 先写基地址 +0X14 再写该地址 ) 写 DA 寄存器 [23-16]:DA 为 12 位必须先配置电源寄存器写 ( 只写一次 ):0x10 每次 DA 输出都要写以下两个寄存器 : 1 DA 输出量程寄存器 [D7-D3]=00001 为固定值, 每个通道的量程可独立控制, [D2-D0]:DA 通道选择 = 000:AO0 = 001:AO1 = 010:AO2 = 011:AO3 2 DA 通道选择和数据输出寄存 26

30 北京阿尔泰科技发展有限公司 [D7-D3] = 为固定值, [D2-D0] 为通道选择寄存器 : = 000:AO0 = 001:AO1 = 010:AO2 = 011:AO3 = 100: 全启动 基地址 +0X1A 回读 D[15:0]: 计数器 0 初值 基地址 +0X1C D[15:0]: 计数器 0 当前计数值 D[2:0]: 计数器 0 的工作方式 D[15:3]: 保留 基地址 +0X1E 回读 D[15:0]: 计数器 1 初值 基地址 +0X20 D[15:0]: 计数器 1 当前计数值 D[2:0]: 计数器 1 的工作方式 D[15:3]: 保留 基地址 +0X22 回读 D[15:0]: 计数器 2 初值 基地址 +0X24 D[15:0]: 计数器 2 当前计数值 D[2:0]: 计数器 2 的工作方式 D[15:3]: 保留 基地址 +0X26 D[7:0]: 开关量输入 D[15:8]: 无效 D[7:0]: 开关量输出 D[15:8]: 保留 27

31 ART2932 数据采集卡硬件使用说明书版本 :6.021 第九章产品的应用注意事项 校准 保修第一节 注意事项 在公司售出的产品包装中, 用户将会找到这本说明书和 ART2932 板, 同时还有产品质保卡 产品质保卡请用户务必妥善保存, 当该产品出现问题需要维修时, 请用户将产品质保卡同产品一起, 寄回本公司, 以便我们能尽快的帮用户解决问题 在使用 ART2932 板时, 应注意 ART2932 板正面的 IC 芯片不要用手去摸, 防止芯片受到静电的危害 第二节 AD 模拟量输入的校准产品出厂时已经校准, 只有当用户使用一段时间后, 或者改变原来的量程设置时及用户认为需要时才做校准 下面以 ±10V 量程为例, 说明校准过程 :( 其他量程同理 ) 准备一块 5 位半精度以上数字电压表, 安装好该产品, 打开主机电源, 预热 15 分钟 1) 零点校准 : 选模拟输入的任意一个通道, 比如 AI0 通道, 其他通道都接地, 将 AI0 接 0 伏, 在 Windows 下运行 ART2932 高级程序, 选择 0 通道, 调整 RP1 使 AI0 通道的采样值约等于 0 伏 2) 满度校准 : 选模拟输入的任意一个通道, 比如 AI0 通道接正满度电压 毫伏, 其他通道都接地, 在 Windows 下运行 ART2932 高级程序, 选择 0 通道, 调整 RP2 使 AI0 通道的采样值接近后等于 毫伏 反复调整 RP2 直到满足为止 第三节 DA 模拟量输出的校准以 ±10V 量程为例, 说明校准过程 :( 其他量程同理 ) 1) 将数字电压表的地线与连接器的模拟地 (AGND) 相接, 电压表的输入端与 AO0 输出连接 2) 在 Windows 下运行 USB5831 测试程序, 选择菜单文件操作下的 D/A 输出检测, 根据需要校准的通道选择相应输出 3) 将 DA 输出值设置为 2048, 通过调整电位器 RP3, 使相应的 AO0 输出为 0.000V( 若调整电位器 RP5 RP7 RP9 则分别使 AO1~AO3 输出为 0V)( 单极性时 DA 输出值设置为 0) 4) 将 DA 输出设置值为 4095, 通过调整电位器 RP4, 使相应的 AO0 输出为 mV( 若调整电位器 RP6 RP8 RP10 则分别使 AO1~AO3 输出为 mV) ( 单极性与双极性满度校准过程同理 ) 5) 重复以上 3) 4) 步骤, 直到满足要求为止 第四节 DA 使用说明 演示程序中的波形输出不能进行等时间间隔的连续输出, 主要目的是测试 DA 输出的强度 第五节 保修 ART2932 自出厂之日起, 两年内凡用户遵守运输, 贮存和使用规则, 而质量低于产品标准者公司免费修理 28

产品硬件使用说明书

产品硬件使用说明书 PCI8002A 同步高速数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 AD 模拟量输入功能...2 第四节 DI 数字量输入功能...3 第五节 DO 数字量输出功能...3 第六节 其他指标...3 第二章元件布局图及简要说明...4

More information

USB2815数据采集卡

USB2815数据采集卡 USB2852 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 AD 模拟量输入功能...1 第三节 DI 数字量输入功能...2 第四节 DO 数字量输出功能...2 第五节 以太网连接功能...2 第六节 板卡尺寸...2 第七节 产品安装核对表...2 第八节 安装指导...2

More information

USB2089数据采集卡

USB2089数据采集卡 USB2080 数据采集卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...1 第一节 产品应用...1 第二节 总线及制作工艺特点...1 第三节 工作主频...1 第四节 AD 模拟量输入功能...1 第五节 DI 数字量输入功能...2 第六节 DO 数字量输出功能...2 第七节 板卡外形尺寸...2 第八节

More information

产品硬件使用说明书

产品硬件使用说明书 PCI8103 高速任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 总线及制作工艺特点...2 第三节 DA 任意波形输出功能...2 第四节 DI/DO 数字量输入 / 输出功能...2 第五节 其他指标...3 第六节 产品安装核对表...3 第七节 安装指导...3

More information

PCI2003硬件说明书

PCI2003硬件说明书 ART 数字量输入输出卡 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目录目录... 第一章功能概述... 第一节 产品应用... 第二节 DIO 数字量输入 / 输出功能... 第三节 产品安装核对表... 第四节 安装指导... 一 软件安装指导... 二 硬件安装指导... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明...

More information

USB2813数据采集卡

USB2813数据采集卡 ART2750 任意波形发生器 硬件使用说明书 北京阿尔泰科技发展有限公司 产品研发部修订 北京阿尔泰科技发展有限公司 目 录 目录...1 第一章功能概述...2 第一节 产品应用...2 第二节 DA 任意波形输出功能...2 第三节 其它硬件指标...2 第四节 板卡尺寸...2 第五节 产品安装核对表...3 第六节 安装指导...3 一 软件安装指导...3 二 硬件安装指导...3 第二章元件布局图...4

More information

ART2030 SSI数据输出卡

ART2030 SSI数据输出卡 SSI-5S 分布式 SSI 接口卡 硬件使用说明书 产品研发部修订 目录 目录... 第一章功能概述... 第一节 产品应用... 第二节 主要指标... 第三节 板卡外形尺寸... 第二章元件布局图及简要说明... 第一节 主要元件布局图... 第二节 主要元件功能说明... 第三章信号输入输出连接器和跳线器...4 第一节 SSI 信号采集输入连接器定义...4 第二节 SSI 信号仿真输出连接器定义...5

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

USB kS/s USB2.0 总线 32 通道轮询模拟量输入 16 通道程控数字量输入 16 通道程控数字量输出多功能数据采集卡 用户手册 版本号 : Q I

USB kS/s USB2.0 总线 32 通道轮询模拟量输入 16 通道程控数字量输入 16 通道程控数字量输出多功能数据采集卡 用户手册 版本号 : Q I USB-6165 500kS/s USB2.0 总线 32 通道轮询模拟量输入 16 通道程控数字量输入 16 通道程控数字量输出多功能数据采集卡 用户手册 版本号 : Q7-31-02 I 修订日期 : 2014-6-10 国控精仪 ( 北京 ) 科技有限公司 2014 年版权所有 本软件文档及相关套件均属国控精仪 ( 北京 ) 科技有限公司所有, 包含专利信息, 其知识产权受国家法律保护, 除非本公司书面授权许可,

More information

软件产品帮助文档

软件产品帮助文档 北京阿尔泰科技发展有限公司 ART2153 Windows2000/XP 驱动程序使用说明书 请您务必阅读 使用纲要, 他会使您事半功倍! 目 录 ART2153 Windows2000/XP 驱动程序使用说明书...1 第一章版权信息...2 第二章使用纲要...2 第三章设备专用函数接口介绍...5 第一节 设备驱动接口函数列表 ( 每个函数省略了前缀 ART2153_ )...5 第二节 设备对象管理函数原型说明...6

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

行业

行业 PCI-1716 快速安装使用手册 DAQNavi PCI-1716 快速安装使用手册 DAQNavi... 1 第一章产品介绍... 2 1.1 概述... 2 1.1.1 即插即用功能... 2 1.1.2 自动通道 / 增益 /SD*/BU* 扫描... 2 1.1.3 卡上 FIFO( 先入先出 ) 存储器... 2 1.1.4 卡上可编程计数器... 2 1.1.5 16 路数字输入和 16

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

国控精仪 ( 北京 ) 科技有限公司 2016 年版权所有本软件文档及相关套件均属国控精仪 ( 北京 ) 科技有限公司所有, 包含专利信息, 其知识产权受国家法律保护, 除非本公司书面授权许可, 其他公司 组织不得非法使用和拷贝 为提高产品的性能 可靠性, 本文档中的信息如有完善或修改, 恕不另行通

国控精仪 ( 北京 ) 科技有限公司 2016 年版权所有本软件文档及相关套件均属国控精仪 ( 北京 ) 科技有限公司所有, 包含专利信息, 其知识产权受国家法律保护, 除非本公司书面授权许可, 其他公司 组织不得非法使用和拷贝 为提高产品的性能 可靠性, 本文档中的信息如有完善或修改, 恕不另行通 PCI/PXI/PCIe-6755 4 通道同步模拟量输入 80MS/s/CH 16 位采样精度高速数据采集卡 用户手册 版本号 : Q7-30-02 修订日期 : 2016-3-12 I 国控精仪 ( 北京 ) 科技有限公司 2016 年版权所有本软件文档及相关套件均属国控精仪 ( 北京 ) 科技有限公司所有, 包含专利信息, 其知识产权受国家法律保护, 除非本公司书面授权许可, 其他公司 组织不得非法使用和拷贝

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

股份有限公司

股份有限公司 2015 600527 江苏江南高纤股份有限公司 2015 年年度报告 重要提示 20151231802,089,390100.90 ()72,188,045.10, 1 / 85 2015... 3... 4... 7... 8... 15... 18... 20... 21... 25... 27... 28... 85 2 / 85 2015 第一节 释义 2015 1 1 2015 12 31

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

产品说明书

产品说明书 » RK VX3464 3U VPX IO 通讯板 «使用说明书 RK VX3464 3U VPX IO 通讯板 - 1 - 目录 1. 概述... - 3-2. 主要技术指标... - 3-2.1 功能指标... - 3-2.2 使用环境条件... - 5-2.2.1 储存温度... - 5-2.2.2 工作温度... - 5-3. 工作原理... - 5-4. 寄存器使用说明... - 5-4.1

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

PCI doc

PCI doc PCI-1711/1711L 快速安装使用手册 PCI-1711/1711L 快速安装使用手册...1 第一章产品介绍...2 1.1 概述...2 1.1.1 即插即用功能...2 1.1.2 灵活的输入类型和范围设定...2 1.1.3 卡上 FIFO( 先入先出 ) 存储器...2 1.1.4 卡上可编程计数器...2 1.1.5 16 路数字输入和 16 路数字输出...3 1.2 特点...3

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当

三 使用 3.1 JP1: JP1: 三针跳线, 决定 XC1612P 卡 A/D 的输入范围 输入 :-5-5V( 出厂时, 默认设置 ) JP1(1-2 短接 ) 输入 :-10-10V JP1(2-3 短接 ) 3.2 A/D 注意事项 : (1) 输入信号最好应用屏蔽电缆接线 ; (2) 当 XC1612P 用户使用手册 版权声明 : 本手册由北京新超仁达科技有限公司提供, 任何单位 个人不得转载 修改该文档的样式和内容, 否则将追究法律责任 版权归北京新超仁达科技有限公司 一 概述 XC1612P 是一款高性能的多功能板, 由北京新超仁达科技有限公司精心设计 采用 PC104 Plus 总线无需地址跳线 适合中高速 同步 高精度等场合的测量应用 XC1612P 具有 12 路 16 位模拟输入

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Microsoft Word - step3使用说明新.doc

Microsoft Word - step3使用说明新.doc 请 您 先 简 单 浏 览 向 导, 很 快 就 了 解 STEP3 概 要 0 目 录 一 STEP3 向 导... 2 二 技 术 参 数... 3 三 STEP3 操 作 使 用 说 明... 8 ( 一 ) 设 置 模 式...9 1 密 码 输 入...9 1 密 码 修 改...10 2 地 址 码 设 置...10 3 波 特 率 设 置... 11 4 测 量 范 围 设 置...

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

K85系列模块使用说明书

K85系列模块使用说明书 K85-CAN 模块 硬件使用说明书 (2012-08-16) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

PID

PID F&B 100% PID 1 3 5 7 9 11 13 14 26 28 1.1. XMGA5000/XMGA6000 PID 4 2 1 3 PID 1.2. 1.2.1. 4 (AI) IN1(PV1) IN2(PV2) (XMGA6000) IN3(PV3) 010mA/420mA/05V/15V (OUT2) DI1 / ( ) PV1 (OUT2) DI1 ( )/ IN4 (RP) 010mA/420mA/05V/15V

More information

行业

行业 第一章 产品介绍 1.1 概述 USB-4704 是一款 USB 总线的多功能数据采集卡 其先进的电路设计使得它具有更高的质量和更多 的功能 这其中包含五种最常用的测量和控制功能 :14 位 A/D 转换 D/A 转换 数字量输入 数字量 输出及计数器 / 定时器功能 1.2 特点 : 1. 8 路单端或 4 路差分模拟量输入, 或组合方式输入 ; 2. 14 位 A/D 转换器, 采样数率可达 48

More information

行业

行业 ... 1 第一章产品介绍... 2 1.1 概述...2 1.1.1 灵活的输入类型及范围...2 1.1.2 高速数据采集...2 1.1.3 支持软件 内部定时器及外部定时器触发...2 1.1.4 满足隔离保护的要求...2 1.2 特点 :...2 1.3 选型指导...3 第二章安装与测试... 4 2.1 初始检查...4 2.2 Windows 7/XP 下板卡的安装...4 2.2.1

More information

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求... 8 其他规格 外 USB-2000 系列多功能同步数据采集卡 用户手册 Rev: E 北京思迈科华技术有限公司 www.smacq.com www.smacq.cn 目录 1. 产品介绍... 4 1.1. 概述... 4 1.2. 功能结构框图... 5 1.3. 产品特性... 5 1.4. 产品规范... 6 模拟输入... 6 模拟输出... 7 数字 IO... 7 计数器... 8 总线接口... 8 电源要求...

More information

第一部分 绪论

第一部分 绪论 KPCI-1812 数据采集卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech Support

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

NET-1203

NET-1203 NET-1203 16 路 12 位 200KHz AD,2 路 12 位 DA,16 路 DIO, 2 路测频,1 路分频,2 路 24 位 PWM 输出 用户使用手册 北京新超仁达科技有限公司 2012.7 www.xckz.com 技术支持 :010-62971303 - 1 版本 :5.5 一 前言...3 二 概述...3 三 主要特点 性能... 3 四 原理说明...4 4.1 逻辑框图...4

More information

Microsoft Word - DCS系统的实践应用与工作经验

Microsoft Word - DCS系统的实践应用与工作经验 DCS 系 统 的 实 践 应 用 与 工 作 经 验 北 京 远 东 仪 表 有 限 公 司 张 洪 垠 摘 要 : 本 文 着 重 介 绍 了 有 关 DCS 系 统 的 选 型 配 置 使 用 与 考 核 等 方 面 要 求, 作 者 介 绍 了 多 年 从 事 DCS 的 工 作 实 践 体 会 和 感 受, 可 作 为 从 事 DCS 系 统 方 面 工 作 的 有 关 人 员 参 考 关

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

行业

行业 第一章 产品介绍 1.1 概述 USB-4702 是一款 USB 总线的多功能数据采集卡 其先进的电路设计使得它具有更高的质量和更多 的功能 这其中包含五种最常用的测量和控制功能 :12 位 A/D 转换 D/A 转换 数字量输入 数字量 输出及计数器 / 定时器功能 1.2 特点 : 1. 8 路单端或 4 路差分模拟量输入, 或组合方式输入 ; 2. 12 位 A/D 转换器, 采样数率可达 10

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2

安全注意事项 2. 设置对焦模式 3. 变焦 1. 安装和卸下镜头 4. 固定变焦环 1 2 CHI-2 CHI EF 镜头使用说明书 EF24-70mm f/4l IS USM 感谢您购买佳能产品! 使用注意事项 如果将镜头从寒冷的环境拿到温暖的环境中, 镜头表面和内部零件可能会发生结露 高温可能导致镜头故障 特点 安全注意事项 安全注意事项 请勿透过镜头或相机观看太阳或明亮的光源 无论镜头是否装在相机上, 请勿将没有盖上镜头盖的镜头置于太阳下 本说明中使用的符号 CHI-1 安全注意事项 2. 设置对焦模式

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

幻灯片 1

幻灯片 1 18 20045 : : 1. 2. 1. 2. 3. 4. 5. BAS BAS BAS 3 15-1 2.3.2 AI A/D D/A AO DI DO 15-7 1 EXCEL 5000 C-BUSRS4851200X42915009600~1MB/S DI DO AI AO XL20 XL80 XL100 XL500/600 XF521A XF526 XF523A XF528

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

COMPACT

COMPACT OMPACT COMPACT-USB-8-12-2000 采集卡使用手册 武汉康柏科特科技有限公司 www.whcompact.com liutao@whcompact.com 技术支持 :13437156722 目录 COMPACT-USB-8-12-2000 采集卡... 1 第一章 COMPACT-USB-8-12-2000 采集卡接口及尺寸... 2 1.1 采集卡接口及定义... 2 1.2

More information

C-062.docx

C-062.docx 十 二 年 國 民 基 本 教 育 國 中 教 師 有 效 教 學 深 耕 推 廣 計 畫 優 良 教 案 甄 選 比 賽 教 學 計 畫 ( 教 案 ) 設 計 主 題 名 稱 對 映 鄉 土 意, 聯 接 板 橋 情 對 聯 有 效 教 學 教 材 來 源 改 編 教 科 書 ( 康 軒 翰 林 南 一 其 他 ) 自 編 教 學 節 數 6 節 主 題 第 三 冊 第 六 課 大 明 湖 語

More information

PCI-1780 测试文档

PCI-1780 测试文档 目录 第一节概述... 2 1.1 产品规格参数... 2 1.2 接线电缆和板卡... 3 1.3 开发和使用流程... 3 第二节安装与测试... 4 2.1 初始检查... 4 2.2 Windows XP/7/8/Server 系统下板卡的安装... 4 2.3 Windows XP/7/8/Server 系统下板卡的卸载... 5 2.4 配置板卡参数... 6 2.5 在 Navigator

More information

上海盛瑞电子有限公司

上海盛瑞电子有限公司 2015/5/22 1 / 9 一运动指令 MoveJ MoveJ[\Conc,]ToPoint,Speed[\V] [\T],Zone[\Z][\Inpos],Tool[\WObj]; 1 [\Conc,]: 协作运动开关 (switch) 2 ToPoint: 目标点, 默认为 * (robotarget) 3 Speed: 运行速度数据 (speeddata) 4 [\V]: 特殊运行速度 mm/s

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

<4D F736F F D C6F0D6D8D0D4C4DCB1EDA1AAA1AAB9E9B5B5B0E6>

<4D F736F F D C6F0D6D8D0D4C4DCB1EDA1AAA1AAB9E9B5B5B0E6> XZJ5940JQZ800 全地面起重机 QAY800 全地面起重机 ( 起重性能表 ) 中华人民共和国徐州工程机械集团有限公司徐州重型机械有限公司 目录 一 七节主臂工况起重性能表 支腿全伸... 7 1 七节主臂 _t-1 支腿全伸 12.8m 13m, 平衡重 0t... 7 2 七节主臂 _t-2 支腿全伸 12.8m 13m, 平衡重 0t... 7 3 七节主臂 _t-1 支腿全伸 12.8m

More information

K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 室 邮政编码 : 电话 : 传真 :

K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 室 邮政编码 : 电话 : 传真 : K-847 继电器输出及隔离数字输入接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 5 模拟输入... 5 PFI 接口... 6 外部时钟接口... 6 外触发接口... 7 总线接口... 7 电源要求... 7 其他规格... 7

目录 1. 产品介绍 概述 功能结构框图 产品特性 产品规范... 5 模拟输入... 5 PFI 接口... 6 外部时钟接口... 6 外触发接口... 7 总线接口... 7 电源要求... 7 其他规格... 7 USB-6000 系列高分辨率数据采集卡 USB-6030 / USB-6020 / USB-6010 用户手册 Rev: D 北京思迈科华技术有限公司 www.smacq.com www.smacq.cn 目录 1. 产品介绍... 4 1.1. 概述... 4 1.2. 功能结构框图... 4 1.3. 产品特性... 5 1.4. 产品规范... 5 模拟输入... 5 PFI 接口... 6

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information