JTAG & ISP二合一编程仿真器 使用说明书 V1

Size: px
Start display at page:

Download "JTAG & ISP二合一编程仿真器 使用说明书 V1"

Transcription

1 JTAG & ISP 二合一编程仿真器使用说明书 V 第一版第 1 页共 24 页

2 一 简介 1 产品概述 AVR JTAG & ISP 是一款集成了 AVR JTAG 和 AVR ISP 的双功能多用途的仿真 编程一体机, 与 AVR Studio(AVR Studio 4.09 或更高版本才能使用 AVR JTAG & ISP) 相结合, 通过 COM 或 USB 可以对所有带 JTAG 接口的 AVR 单片机进行在片调试 (On-ChipDebugging) 和编程, 同时支持 AVR 全系列单片机的 ISP 程序下载 实现了 JTAG ISP 双功能单芯片的完美结合 2 产品特点 1 JTAG 和 ISP 功能的完美单芯片解决方案, 大幅降低 AVR 入门成本 2 JTAG 和 ISP 功能分别与 ATMEL AVR JTAGICE 和 ATMEL AVR ISP 完全兼容 3 彻底防错插功能, 即插即用 4 目标自动识别, 无需跳线或开关转换 5 自动实现系统重启, 无需电源开关和复位键 6 实现与 AVR Studio 无缝连接, 仿真 下载 编程同步实现 7 在片仿真芯片的数字和模拟功能, 完全实现 AVR 单片机的所有的电性能 8 采用 USB 或 RS232 接口与 PC 连接, 由 PC 进行编程和控制 9 多种供电方式选择, 宽电压自适应 3.3~20V, 目标板供电 USB 供电 电源供电 10 支持全系列 AVR 单片机的 ISP 下载和具有 JTAG 接口的在片仿真 支持列表如下 : ISP 下载 : AT Tiny 系列 : ATtiny12 ATtiny13 ATtiny15 ATtiny22 ATtiny24 ATtiny26 ATtiny2313 AT90 系列 : AT90S1200 AT90S2313 AT90S/LS2323 AT90S/LS2343 AT90S/LS2333 AT90S4414 AT90S/LS4433 AT90S/LS4434 AT90S8515 AT90S/LS8535 ATMega 系列 : ATmega8 ATmega16 ATmega32 ATmega48 ATmega64 ATmega88 ATmega103 ATmega128 ATmega161 ATmega162 ATmega163 ATmega165 ATmega169 ATmega323 ATmega325 ATmega329 ATmega644 ATmega645 ATmega649 ATmega2560 ATmega2561 ATmega3250 ATmega3290 ATmega6450 ATmega6490 ATmega8515 ATmega8535 AT90CAN128 AT90PWM2 AT90PWM3 其他 : AT86RF401 AT89S51 AT89S52 JTAG 仿真 ( 具有 JTAG 接口 ): AT90CAN128 ATmega128 ATmega128L ATmega16 ATmega162 ATmega162V ATmega165 ATmega165V ATmega169 ATmega169V ATmega16L ATmega32 ATmega323 ATmega323L ATmega32L ATmega64 ATmega64L 3 产品清单 1 AVR JTAG & ISP 快速入门手册 2 带有扁平连线的 AVR JTAG & ISP 1 本 1 台 第一版第 2 页共 24 页

3 3 USB 连接线 4 9 脚的 RS232 连接线 5 直流 (DC) 电源电缆 6 光盘 1 条 1 条 1 条 1 张 4 系统要求 PC 软硬件至少满足 : Pentium (PentiumⅡ 或以上 ) 64 MB RAM 100 MB 空余硬盘空间 ( 用来来安装 AVR Studio 4.XX) Windows 95, Windows 98, Windows NT4.0 或更高版本的 Windows 操作系统如 Windows 2000 或 Windows XP 波特率的 RS-232 口 (COM port), USB 接口 ( 推荐 ) 9-15VDC.9VAC 如果使用 USB 可以不使用. 5 关键术语 JTAG: JTAG 接口是一个符合 IEEE 标准的 4 线的测试存取端口控制器 (Test Access Port (TAP)controller) 这个 IEEE 标准制定了一套标准的方法, 采用了边界扫描技术 (Boundary Scan), 用于有效的对芯片进行测试 Atmel AVR 芯片扩展了这项功能, 使其能完全支持编程下载和片上调试功能 AVR JTAG & ISP 使用标准的 JTAG 接口, 使用户可以对目标系统上运行的单片机进行实时的仿真 ( AVR On-Chip Debug (AVROCD)) 协议能够让用户对 AVR 单片机的内部资源进行全部的控制 与传统仿真器相比,AVR JTAG & ISP 的花费很小, 但却能实现更准确的仿真 二 产品使用说明 1 安装 USB 驱动程序 ( 如果你使用串口, 你无需安装本驱动 ) 安装前准备 : 请购买硬件, 即本二合一编程与仿真器, 支持串口和 USB 获得软件, 你可以从光盘中得到, 也可以从以下地址下载, 文件名为 PHYSICO AVR JTAG.rar 压缩文件 AVR JTAG.rar 把软件解压到一个文件夹下, 我这里解压为 D 盘根目录 开始安装 : 安装前将编程仿真线通过 USB 口与电脑连接起来, 打开开关, 发现黄绿灯闪烁, 表明编程器已经运行, 这时, 电脑上显示发现新硬件 按照以下图片所示的步骤即可完成安装 注意 : 需要安装两个驱动文件, 第一个安装完成, 自动弹出第二个文件的安装 编程仿真器安装一 : 发现新硬件, 运行新硬件向导 第一版第 3 页共 24 页

4 编程仿真器安装二 : 指定一个位置 第一版第 4 页共 24 页

5 编程仿真器安装三 : 选择对应目录下的 FTDIBUS.INF 编程仿真器安装四 : 自动查找第二个文件, 选择 ftser2k. sys 第一版第 5 页共 24 页

6 安装完成后可以在设备管理器 [ 进入方法 : 右键我的电脑 > 管理 > 设备管理器 ] 中看到刚才 安装的新硬件如下图 编程仿真器安装完成 驱动程序详细说明 : 安装完成后你可以看到这些文件 第一版第 6 页共 24 页

7 2 接口及指示说明 JTAG 接口 : 第一版第 7 页共 24 页

8 ISP 接口 : 指示灯示意图如下 : 1: 忙指示 : 数据读写时会不断闪动 2:JTAG 与 STK500(ISP) 指示 : 亮表示 JTAG, 灭表示 STK500(ISP) 3: 电源指示灯 : 接通电源时亮, 否则灭 几种常见状态 : 一 接通电源, 不插目标板 : 1 和 2 闪,3 亮, 属于等待状态 二 插到 JTAG 上 :1 2 3 都亮,JTAG 正常状态 三 插到 ISP 上 :1 2 灭,3 亮,ISP 正常状态 四 JTAG 编程时 :1 闪动,2 和 3 亮,JTAG 忙碌状态 五 ISP 编程时 :1 闪动,2 灭,3 亮,ISP 忙碌状态 六 未接电时 :1 2 3 都灭, 电源指示不正常, 非工作状态 七 插拔时,2 和 3 来回闪动 3 使用 JTAG 仿真功能 AVR jtag 在线仿真调试第一部 : 硬件连接 将接口连接到 AVR 对应的 JTAG 编程口上,JTAG 口的接线图参考本手册第二部分 第二步 : 使用 AVRstudio 打开 *.cof 文件如果你没有自己写 AVR 程序, 你可以使用本站的新手入门第一个程序 AVR_first, 实现红绿灯不断闪烁的例子 程序启动时候的样子如下图 : 第一版第 8 页共 24 页

9 程序启动界面 : 打开 main.cof 文件 工程文件存为 main_cof.aps 方便下次打开 第一版第 9 页共 24 页

10 选择相关硬件配置 ( 端口的选择参见下图 ) 使用 JTAG&SIP 默认会是 COM3 端口的选择 ( 说明 : 这个画面可以在 : 右击我的电脑 >-- 管理 >-- 设备管理器里面找到 ) 第一版第 10 页共 24 页

11 端口频率设置 ( 保持默认即可 ) 运行程序直接运行你可以看到红绿灯闪动的效果 { 点击看大图 } 第一版第 11 页共 24 页

12 你还可以通过 Debug 里面的命令进行在线调试 好了, 现在你已经进入 AVR 的精彩世界了 如果你在使用中遇到任何问题, 欢迎在论坛上发帖参与讨论 三 调试命令的使用调试快捷键, 具体可以通过 DEBUG 菜单项查看, 按照相应的指令就可以进行调试操作了 第一版第 12 页共 24 页

13 观察窗口的说明 : 使用以下窗口可以即时查看寄存器, 变量, 以及数据地址的值, 更多内容大家自己摸索吧 第一版第 13 页共 24 页

14 4 使用 ISP 下载功能 STK500 JTAG 下载烧录快速入门导读 : 本文介绍 AVR 官方唯一推荐的下载方法 :STK500 也介绍了 AVR Studio 同时支持的 JTAG 下载, 如果要仿真的话, 还是少不了 JTAG, 所以 JTAG 下载也很常用 并口下载由于速度很慢,AVR Studio 也不支持并口下载,( 仅能使用第三方的软件下载 ) 故我们不推荐使用 我们的感觉 : 用过 STK500 下载后, 就不会再使用并口下载了 感觉是两种完全不同档次的方式, 不过并口下载成本要低很多 如果你没有配置好开发环境, 请看 ICC avr + AVRstudio 开发环境的配置 请确保你已经了解 AVR Studio: AVR Studio 快速入门 一 :STK500 下载支持的芯片 : 支持全系列的 AVR 芯片 并且, 支持未来的 AVR 新芯片 实际生产过程中, 很多情况下是不留 JTAG 电路的, 因为 JTAG 电路要占用 IO 口, 并且并不是所有的芯片都支持 JTAG 下载, 所以使用 STK500 下载很重要 操作方法 : 打开 AVR Studio 软件, 按下图操作 第一版第 14 页共 24 页

15 Connect 与 Auto Connect 的区别, 是每次都会提示选择的设备名称与连接端口 Auto Conect 会自动使用上一次的设置, 提高操作效率 使用 Connect 会弹出如下的界面 : Port 口为硬件连接端口, 如果使用本站的下载器,usb 设备会默认模拟到 com3, 如果你不清楚, 选择 Auto 即可 如果你没有连接 STK500 JTAG mkii 等设备, 可以使用 Disconnected Mode ( 脱机模式 ) 进入查看操作界面 如果你已经按下图连接好, 就能按 Connect 进行连接了 : 第一版第 15 页共 24 页

16 连接成功后, 进入如下的界面 : 第一版第 16 页共 24 页

17 第一版第 17 页共 24 页

18 第一版第 18 页共 24 页

19 第一版第 19 页共 24 页

20 存储器锁定位 (2) 保护类型 lb 模式 lb2 lb 没有使能存储器保护特性 在并行和 spi/jtag 串行编程模式中 flash 和 eeprom 的进一步编程被禁止, 熔丝位被锁定 (1) 在并行和 spi/jtag 串行编程模式中 flash 和 eeprom 的进一步编程及验证被禁止, 锁定位和熔丝位被锁定 (1) blb0 模 blbo2 blb01 式 spm 和 lpm 对应用区的访问没有限制 不允许 spm 对应用区进行写操作 不允许 spm 指令对应用区进行写操作, 也不允许运行于 boot loader 区的 lpm 指令从应用区读取数据 若中断向量位于 boot loader 区, 那么执行应用区代码时中断是禁止的 不允许运行于 boot loader 区的 lpm 指令从应用区读取数据 若中断向量位于 boot loader 区, 那么执行应用区代码时中断是禁止的 blb1 模 blb12 blb11 式 第一版第 20 页共 24 页

21 1 1 1 允许 spm/lpm 指令访问 boot loader 区 不允许 spm 指令对 boot loader 区进行写操作 不允许 spm 指令对 boot loader 区进行写操作, 也不允许运行于应用区的 lpm 指令从 boot loader 区读取数据 若中断向量位于应用区, 那么执行 boot loader 区代码时中断是禁止的 不允许运行于应用区的 lpm 指令从 boot loader 区读取数据 若中断向量位于应用区, 那么执行 boot loader 区代码时中断是禁止的 notes: 1. 在编程锁定位前先编程熔丝位 2. 1 表示未被编程, 0 表示被编程 第一版第 21 页共 24 页

22 二 : 使用 JTAG 仿真器下载下载方法与使用 STK500 的几乎完全一样 在上面的介绍中, 设备不选 STK500, 改成选 JTAG ICE 就能进入 支持的芯片 : 仅支持带 JTAG 接口的芯片 按官方文档的描述, 支持的芯片清单为 : ATmega128, ATmega64, ATmega32,ATmega16, ATmega162, ATmega165, ATmega169, ATmega323 可见 JTAG 下载很有局限 另外由于通信协议的不同, 感觉 JTAG 下载的速度, 尤其是瞬时反应速度, 没有 STK500 快 ( 但如果大量的数据传输, 速度感觉差不多 ) 三 相关资源 AVR 基本硬件线路与分析 JTAG: 什么是 JTAG?JTAG(Joint Test Action Group 联合测试行动小组 ) 是一种国际标准测试协议 (IEEE 兼容 ), 主要用于芯片内部测试 现在多数的高级器件 ( 包括 AVR) 都支持 JTAG 协议, 如 DSP FPGA 器件等 标准的 JTAG 接口是 4 线 :TMS TCK TDI TDO, 分别为模式选择 时钟 数据输入和数据输出线 JTAG 最初是用来对芯片进行测试的,JTAG 的基本原理是在器件内部定义一个 TAP(Test Access Port; 测试访问口 ) 通过专用的 JTAG 测试工具对进行内部节点进行测试 JTAG 测试允许多个器件通过 JTAG 接口串联在一起, 形成一个 JTAG 链, 能实现对各个器件分别测试 现在,JTAG 接口还常用于实现 ISP(In-System Programmable: 在线编程 ), 对 FLASH 等器 第一版第 22 页共 24 页

23 件进行编程 JTAG 编程方式是在线编程, 传统生产流程中先对芯片进行预编程现再装到板上因此而改变, 简化的流程为先固定器件到电路板上, 再用 JTAG 编程, 从而大大加快工程进度 JTAG 接口可对 PSD 芯片内部的所有部件进行编程具有 JTAG 口的芯片都有如下 JTAG 引脚定义 : TCK 测试时钟输入 ; TDI 测试数据输入, 数据通过 TDI 输入 JTAG 口 ; TDO 测试数据输出, 数据通过 TDO 从 JTAG 口输出 ; TMS 测试模式选择,TMS 用来设置 JTAG 口处于某种特定的测试模式 可选引脚 TRST 测试复位, 输入引脚, 低电平有效 含有 JTAG 口的芯片种类较多, 如 CPU DSP CPLD 等 JTAG 内部有一个状态机, 称为 TAP 控制器 TAP 控制器的状态机通过 TCK 和 TMS 进行状态的改变, 实现数据和指令的输入 通常所说的 JTAG 大致分两类, 一类用于测试芯片的电气特性, 检测芯片是否有问题 ; 一类用于 Debug; 一般支持 JTAG 的 CPU 内都包含了这两个模块 一个含有 JTAG Debug 接口模块的 CPU, 只要时钟正常, 就可以通过 JTAG 接口访问 CPU 的内部寄存器和挂在 CPU 总线上的设备, 如 FLASH,RAM,SOC( 比如 4510B,44Box, AT91M 系列 ) 内置模块的寄存器, 像 UART,Timers,GPIO 等等的寄存器 AVR jtag 在线仿真调试快速入门 : 通过 JTAG 可以查看及调试 AVR 单片机的 GPIO,Timters,UART,TWI,Bootloader, WatechDog,SPI,JTAG,AD,EXTERNAL_INTERUPT,EEPROM 等 如下图 : ISP: 第一版第 23 页共 24 页

24 什么是 ISP?ISP 又叫在线编程 (In-System Programmable), 用于下载程序, 调试程序 ISP(In-System Programming) 在系统可编程, 指电路板上的空白器件可以编程写入最终用户代码, 而不需要从电路板上取下器件, 已经编程的器件也可以用 ISP 方式擦除或再编程 无论在单片机上, 还是在 CPLD/FPGA 上都得到了广泛的应用,ISP 技术是未来发展方向! 回忆下传统的编程方式, 举设计单片机系统为例, 如果想要对单片机编程序, 必须要把单片机先从电路板上取下来, 然后放入专用的编程器进行编程, 最后再次放入电路板进行调试, 可以看出, 这样的开发步骤有以下缺点 : 1) 频繁的拔插芯片, 容易损坏芯片的引脚 ; 2) 如果频繁的调试程序, 换程序, 必须重复拔插, 大大降低了开发效率 ISP 技术彻底地改变了传统的开发模式, 它只要在电路板上留下个接口 ( 如 ispdown 的十芯插座 ), 配合 ispdown 的下载电缆, 就可以不用拔出芯片, 在电路板上就可以对芯片进行编程, 对比传统的开发系统, 有以下优势 : 1) 工程师在开发电子系统时彻底告别频繁拔插芯片的噩梦, 避免损坏芯片的引脚 ; 2)ISP 可以加速产品的上市并降低研发成本 3)ISP 技术帮助工程师缩短从设计 制造到现场调试 简化生产流程并采用经证实更有效的方式进行现场升级和维护, 大大提高了工作效率 4) 在试验新品或学生试验等经常需要用不同的程序调试芯片的场合中, 在线编程技术尤为重要 而以上这些还只是 ISP 技术所能为你带来的一部分好处 可用资源 : AVR 与虚拟仪器 : AVR 与虚拟仪器论坛 : AVR 新手入门教程 : AVR 进阶教程 : 联系我们 : AVR 与虚拟仪器网址 : 论坛 : 电话 ( 传真 ): 网站管理 :webmaster@avrvi.com 客服邮箱 :sales@avrvi.com 技术支持 :support@avrvi.com 客服 QQ : 技术讨论群 : 第一版第 24 页共 24 页

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63>

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63> AVR 硬件开发工具选型指南 版本 :Rev1.0 www.mcuzone.com 2008-01 Team MCUZone http://www.mcuzone.com AVR 硬件开发工具选型指南 - 1 - Ponyprog-stk USB ASP USB STK500 AVR 200 ICE AVR Dragon AVR ISP mkii mkii lite V2 mkii 完全版 与 PC

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书 V2.5 本文件版本 :V2.0 仿真器版本 :V2.5 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2 支持芯片...3 3 指示灯状态...4

More information

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF56322E646F63>

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF56322E646F63> AVR 硬件开发工具选型指南 Team MCUZone http://www.mcuzone.com 版本 :Rev2.0 www.mcuzone.com 2009-06 AVR 硬件开发工具选型指南 - 1 - 1. 并口 AVR 2.USB ASP 3. USB 4. AVR JTAG 5. AVR 6. AVR ISP 7. mkii lite 8. mkii-cn 9. mkii 完全版 ISP(stk200)

More information

Microsoft Word - JTAGICE mkⅡ 中文使用说明

Microsoft Word - JTAGICE mkⅡ 中文使用说明 JTAGICE mkⅡ 使用说明 一. 前言 1. 关键词说明 JTAGICEMKⅡ: JTAGICE mkⅡ 与 AVR Studio(AVR Studio 4.09 或更高版本才能使用 JTAGICE mkⅡ) 相结合, 通过 COM 或 USB 可以对所有带 JTAG 或 Debugwire 接口的 AVR 单片机进行在片调试 (On-Chip Debugging) 和编程 JTAGICE mkⅡ

More information

AVR debugwire_avr_ispMkii

AVR debugwire_avr_ispMkii AVR debugewire 与 AVR ISP MKii 二合一 Version 1.1 感谢您选用 AVR 与虚拟仪器网站的产品,AVR debugewire 与 AVR ISP MKii 二合一 编程仿真器, 本仿真器支持所有具备 debugwire 仿真接口的芯片的仿真和所有 ISP 下载接口 的芯片的下载 第 1 页共 25 页 AVR debugewire 与 AVR ISP MKii

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

AVR JTAG MKII克隆版快速指南.doc

AVR JTAG MKII克隆版快速指南.doc AVR JTAG MKII 克隆版快速指南 ( 货号 :6020) 兼容性说明 : AVR JTAG MKII 克隆版与原装 AVR JTAG MKII 完全兼容, 也就是说所有适合原装 AVR JTAG MKII 的文档 资料 软件等, 均可以应用于我们的 AVR JTAG MKII 克隆版 详细的使用 方法您可以参考 AVR Studio 中帮助里的有关说明, 点击 HELP >AVR ToolsUserGuide

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

AVRVi MkII 多功能编程仿真器

AVRVi MkII 多功能编程仿真器 AVRVi MkII 多功能编程仿真器 产品用户手册 文件版本 : Version 0.9 适用产品版 3.5 感谢您选用 AVR 与虚拟仪器网站的产品,AVRVi MkII 多功能编程仿真器是一款精心打造, 采用全新软硬件结构设计的通用型多功能在线编程 在线仿真一体机, 本产品是目前除原装 AVR JTAG MkII 之外最专业的一款 AVR 仿真下载工具, 各功能均可自动升级 本仿真器 JTAG

More information

USB/RS232 AVR ISP使用说明书

USB/RS232 AVR ISP使用说明书 阿莫电子工具使用指南 USB/RS232 AVR ISP 编程下载器 阿莫电子 www.mailshop.cn www.ouravr.com www.ourdev.cn 商标资讯 ATMEL 与 AVR 分别是 ATMEL CORPORATION 的注册商标和商标 阿莫电子 OURAVR 和 ARMOK 分别是东莞阿莫电子的商标 安全需知 为防止损坏您的 AVR 工具, 避免您或他人受伤, 在使用本设备前请仔细阅读下面的安全需知,

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

2012/07/01 陈 春 华 老 师 参 加 国 家 级 青 年 教 师 企 业 实 践 2012/07/03 20 名 教 师 前 往 12 家 企 事 业 单 位 短 期 实 践 2012/07/16 全 国 示 范 校 内 涵 建 设 暨 专 业 建 设 培 训 交 流 会 2012/07

2012/07/01 陈 春 华 老 师 参 加 国 家 级 青 年 教 师 企 业 实 践 2012/07/03 20 名 教 师 前 往 12 家 企 事 业 单 位 短 期 实 践 2012/07/16 全 国 示 范 校 内 涵 建 设 暨 专 业 建 设 培 训 交 流 会 2012/07 日 期 时 间 工 作 主 题 大 事 记 2012/02/20 签 订 计 算 机 应 用 全 国 数 字 化 资 源 开 发 协 议 2012 年 2 月 20 日 我 校 与 沈 阳 信 息 工 程 技 术 学 院 签 订 计 算 机 全 国 数 字 化 资 源 开 发 协 议 在 开 发 过 程 中 我 校 教 师 全 程 参 与, 提 供 各 种 需 求 以 及 素 材, 协 同 沈 阳

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 一. AVR Programmer Software V1.26 2007.8 说明手册 AVR Programmer Software V1.26 2007.8 是 315avr 网站自己开发的一款 AVR 编程软件, 支持本站开 发的可脱机系列产品 :( 可脱机 USB AVRISP 下载器, USB AVR Pro/ISP( 可脱机高压编程器 +ISP 下载器 ) 等 ) 1. 软件安装说明

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页 AVRVI mkii PRO 多功能编程仿真器 本文件版本 :V1.0.3 仿真器版本 :V2.3 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

TSL-AVRJTAGICE仿真器用户手册

TSL-AVRJTAGICE仿真器用户手册 AVR JTAGICE 用户手册 版本 :V06.01.10 2006.01 1 概述 TSL-AVRJTAGICE 是蓝猫电子推出的一款与 ATMEL 公司的 JTAGICE 相兼容的中低价位的 AVR 单片机仿真器 它可以对所有具有 JTAG 接口的 AVR 单片机进行实时在线仿真 JTAG 接口是具有 4 线测试存取通道 (TAP) 的控制器, 完全符合 IEEE1149.1 规格要求 Atmel

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

Microsoft Word - 119002_Java_術科 .doc

Microsoft Word - 119002_Java_術科 .doc 電 腦 軟 體 設 計 乙 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 人 參 考 資 料 (Java) 試 題 編 號 :11900-1000201~3 審 定 日 期 :100 年 6 月 28 日 電 腦 軟 體 設 計 乙 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 人 參 考 資 料 目 錄 ( 第 二 部 分 ) 壹 電 腦 軟 體 設 計 乙 級 技 術 士 技

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 本说明书包含以下五部分 : 一. AVR Programmer 软件使用手册二. 可脱机 USB AVRISP 下载器使用说明书三. 可脱机高压编程器 USB AVR Pro/ISP 使用说明书四. USB AVRISP + JTAGICE 2 合 1 使用说明书五. 并口 AVRISP 下载线使用说明书 发及其配套产品的开发, 提供 AVR 单片机芯片相关产品的邮购服务 并提供给 AVR 爱好者

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

AVR mkII Lite 说明书

AVR mkII Lite 说明书 AVR mkii Lite 使用说明 Team MCUzone http://www.mcuzone.com 版本 :Rev1.0 www.mcuzone.com 2006-12 版本更新说明 Rev 1.0 第一版文件创建 2006-12-06 AVR mkii Lite 使用说明 AVR mkii Lite 为我站推出的 AVR 系列仿真器 支持带有 debugwire 调试接口的 AVR 器件

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

Microsoft Word - 第17組

Microsoft Word - 第17組 壹 前 言 眼 睛 是 人 類 的 靈 魂 之 窗, 是 人 體 中 非 常 重 要 的 器 官 之 一 現 在 是 科 技 化 的 社 會, 在 日 常 生 活 中 有 些 器 官 可 用 移 植 或 藥 物 作 治 療, 但 眼 球 若 因 為 先 天 缺 陷 或 外 力 造 成 失 明 時, 現 代 科 技 是 無 法 治 療 使 其 完 全 恢 復 正 常 視 力, 人 們 的 視 界 將

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

JTAGICE mkⅡ使用说明

JTAGICE mkⅡ使用说明 下载仿真器用户手册 2008 年 10 月 21 日 前 言 双龙电子出品的 SL-USBISP II 下载仿真器, 是集 USB(FullSpeed) 通信 AVR/AVR32 微控制器的 JTAG 编程 AVR/AT89S 微控制器的 ISP 在系统编程 AVR32 微控制器的 JTAG 仿真功能于一体的开发工具, 有较高的性能价格比 在本手册中第一章介绍了 SL-USBISP II 下载仿真器的一些特点

More information

目 录 前 言 3 第 一 部 分 学 院 基 本 情 况... 4 一 学 院 简 介... 4 二 2015 届 毕 业 生 基 本 情 况... 6 第 二 部 分 毕 业 生 就 业 创 业 工 作 开 展 情 况... 9 一 领 导 高 度 重 视, 健 全 机 制... 9 二 多 方

目 录 前 言 3 第 一 部 分 学 院 基 本 情 况... 4 一 学 院 简 介... 4 二 2015 届 毕 业 生 基 本 情 况... 6 第 二 部 分 毕 业 生 就 业 创 业 工 作 开 展 情 况... 9 一 领 导 高 度 重 视, 健 全 机 制... 9 二 多 方 毕 业 生 就 业 质 量 年 度 分 析 报 告 (2015 届 ) 2015 年 12 月 25 日 目 录 前 言 3 第 一 部 分 学 院 基 本 情 况... 4 一 学 院 简 介... 4 二 2015 届 毕 业 生 基 本 情 况... 6 第 二 部 分 毕 业 生 就 业 创 业 工 作 开 展 情 况... 9 一 领 导 高 度 重 视, 健 全 机 制... 9 二 多

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

CPU : i3 RAM: 2G Win2000 Windows XP Windows Vista Windows 7 Cable ADSL 1. [ ] 2., 1. 2. KGI [ ] 3. 4. 5. 6. 7. / /KGI /, 1. (1) / (2) - Proxy, Proxy IP Port (3) - a. / / b. (4) - (5) / / / / / (6) -,,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

CC Debugger使用手册

CC Debugger使用手册 深圳市微雪电子有限公司 CC Debugger 使用手册 www.waveshare.net Xuwenjie 2012/12/8 目录 第一章 : 概述... 3 1.1 特性... 3 1.2 支持设备... 3 1.3 支持软件... 4 1.4 技术参数... 4 第二章 : 硬件描述... 5 2.1 1 USB 接口... 5 2.2 2 LED 指示灯... 5 2.3 3 RESET

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

MSP430FPA使用说明.doc

MSP430FPA使用说明.doc USB-MSP430-FPA 仿真器 / 编程器使用说明 (Ver1.0 Release 2011.08.25) 一 功能 USB-MSP430-FPA 是由加拿大的 Elprotronic 公司推出的专门针对 MSP430 单片机的编程 / 调试工具,USB-MSP430-FPA 在一个端口上同时具有 JTAG/SBW/BSL 接口功能 USB-MSP430-FPA 是目前市场上编程速度最快的 MSP430

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

05_06_浙江省发展和改革委员会网上并联审批系统实施案例.PDF

05_06_浙江省发展和改革委员会网上并联审批系统实施案例.PDF -------------------------------------------------------------------------------- 2004 12 22 1 WTO 2 3 1999 1 OA 2 WEB 3 2000 1 ( ) WEB ( ) 11 11 2 2001 7 11 12 3 WEB OA 2001 12 10 ( ) 14 Client/Server

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

第 一 章 FDC 简 介 1.1 背 景 当 今 社 会 企 业 机 密 信 息 大 量 以 电 子 文 档 方 式 存 在, 而 电 子 文 档 很 容 易 被 篡 取 和 散 播 重 要 的 数 据 文 件 提 供 给 客 户 后, 客 户 可 以 将 获 取 到 的 重 要 数 据 文 件

第 一 章 FDC 简 介 1.1 背 景 当 今 社 会 企 业 机 密 信 息 大 量 以 电 子 文 档 方 式 存 在, 而 电 子 文 档 很 容 易 被 篡 取 和 散 播 重 要 的 数 据 文 件 提 供 给 客 户 后, 客 户 可 以 将 获 取 到 的 重 要 数 据 文 件 软 众 知 识 产 权 风 险 管 控 软 件 系 列 之 文 件 外 派 控 制 大 师 (FDC) 使 用 手 册 目 录 第 一 章 FDC 简 介 2 1.1 背 景 2 1.2 主 要 功 能 2 1.3 工 作 原 理 2 1.4 工 作 模 式 3 第 二 章 FDC 盘 的 制 作 4 2.1 系 统 要 求 和 适 用 对 象 4 2.2 制 作 FDC 盘 的 准 备 工 作 4

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

USB/RS232 AVR JTAG使用说明书

USB/RS232 AVR JTAG使用说明书 阿莫电子工具使用指南 USB/RS232 AVR JTAG 仿真器 阿莫电子 www.mailshop.cn www.ouravr.com www.ourdev.cn 商标资讯 ATMEL 与 AVR 分别是 ATMEL CORPORATION 的注册商标和商标 阿莫电子 OURAVR 和 ARMOK 分别是东莞阿莫电子的商标 安全需知 为防止损坏您的 AVR 工具, 避免您或他人受伤, 在使用本设备前请仔细阅读下面的安全需知,

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

宏电文档

宏电文档 GPRS DDN 〇〇 1. GPRS (General Packet Radio Service) GSM GSM GPRS GSM TDMA (BSS) GPRS GPRS GPRS DDN GSM/GPRS SMS CSD USSD GPRS (DTU) (Machine To Machine M2M) GPRS DDN H7112 GPRS DTU (Tel): +86-755-83890580

More information

一 前言 对于大多数 MCU, 半导体厂商为了方便和简化产品的应用程序下载, 节约 PCB 面积和 成本, 都给自己的 MCU 提供了在线系统编程 (In-System Program) 功能, 一般 MCU 的第一个串口就是在线编程通信接口, 通过电平转换芯片和串口线与 PC 相连, 并在 PC

一 前言 对于大多数 MCU, 半导体厂商为了方便和简化产品的应用程序下载, 节约 PCB 面积和 成本, 都给自己的 MCU 提供了在线系统编程 (In-System Program) 功能, 一般 MCU 的第一个串口就是在线编程通信接口, 通过电平转换芯片和串口线与 PC 相连, 并在 PC EEPW ARM DIY 手记之 ISP 下载 STM32 单片机程序 目录 EEPW ARM DIY 手记之 ISP 下载 STM32 单片机程序... 1 一 前言... 2 二 准备工作... 2 2.1 下载并安装相关软件和驱动... 2 2.2 硬件连接... 2 三 ISP 下载 STM32 程序... 4 3.1 打开 设置 PC 上位机 ISP 软件... 4 3.2 设置 ARM

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

目 录 1 专 业 定 位 和 人 才 培 养 模 式... 3 2 教 学 基 本 条 件...10 3 教 学 改 革 与 教 学 管 理...19 4 人 才 培 养 质 量...28 5 专 业 特 色 或 创 新 项 目...31 2

目 录 1 专 业 定 位 和 人 才 培 养 模 式... 3 2 教 学 基 本 条 件...10 3 教 学 改 革 与 教 学 管 理...19 4 人 才 培 养 质 量...28 5 专 业 特 色 或 创 新 项 目...31 2 广 东 科 学 技 术 职 业 学 院 行 政 管 理 专 业 校 级 重 点 建 设 专 业 验 收 自 评 报 告 二 九 年 六 月 三 十 日 1 目 录 1 专 业 定 位 和 人 才 培 养 模 式... 3 2 教 学 基 本 条 件...10 3 教 学 改 革 与 教 学 管 理...19 4 人 才 培 养 质 量...28 5 专 业 特 色 或 创 新 项 目...31 2

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana

Acer E 15 Graphics Driver Download. Mexico motor Picture redes original Jorge montana Acer E 15 Graphics Driver Download >>> DOWNLOAD 1 / 5 2 / 5 Get...all...the...data...for...your...required...driver...including...models,...availability...and...OS..... Drivers...for...direct...download...are...uploaded...daily...and...rated...by...our...usersWorld'

More information

老 舊 社 區 城 中 村 易 成 為 此 類 案 件 的 高 發 區 竊 賊 順 著 水 管 和 樓 道 攀 爬 入 室 出 門 一 定 要 關 好 門 窗, 安 裝 防 盜 籠 或 報 警 系 統 入 室 盜 竊 案 中, 技 術 性 開 鎖 的 手 法 運 用 比 率 較 高 住 戶 一 定

老 舊 社 區 城 中 村 易 成 為 此 類 案 件 的 高 發 區 竊 賊 順 著 水 管 和 樓 道 攀 爬 入 室 出 門 一 定 要 關 好 門 窗, 安 裝 防 盜 籠 或 報 警 系 統 入 室 盜 竊 案 中, 技 術 性 開 鎖 的 手 法 運 用 比 率 較 高 住 戶 一 定 昆 明 警 方 教 你 預 防 入 室 盜 竊 和 通 信 詐 騙 發 佈 日 期 :2016 年 7 月 19 日 來 源 : 雲 南 省 消 費 者 協 會 針 對 當 下 犯 罪 主 體 職 業 化 團 夥 化 手 段 技 能 化 異 地 流 竄 作 案 的 特 點, 公 安 部 決 定, 從 2016 年 4 月 至 2019 年 4 月, 組 織 全 國 公 安 機 關 開 展 為 期 三

More information

Microsoft Word - AVRISP MKII使用说明

Microsoft Word - AVRISP MKII使用说明 AVRISP MKII 编程器使用说明 谢谢您使用 AVRISPMKII 下载器如有问题, 可与我处技术支持部门联系 AVR 单片机开发工具网友情赞助, 提供测试所需的各种开发平台, 再这里向 AVR 单片机学习网的朋友致以诚挚的谢意 网址 :www.avrtool.com 总体介绍 产品简介 AVRISP MKII 下载器是 ATMEL 公司开发的 AVRISP 第二代产品,USB 接口 AVRISP

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Application Note TKScope 仿真 AVR 使用指南 AN V1.00 Date: 2009/09/03 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR IAR AVR Studio TKScope 仿真 AVR 系列芯片使用指南 广州致远电子有限

Application Note TKScope 仿真 AVR 使用指南 AN V1.00 Date: 2009/09/03 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR IAR AVR Studio TKScope 仿真 AVR 系列芯片使用指南 广州致远电子有限 Application Note AN52221 V1. Date: 29/9/3 类别 关键词 摘要 内容 TKScope AVR IAR AVR Studio TKScope 仿真 AVR 系列芯片使用指南 修订历史 版本日期原因 V1. 29/9/3 创建文档 Date: 29/9/3 Rev 1. i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689

More information

冷熱衝擊試驗機、溫度控制器

冷熱衝擊試驗機、溫度控制器 冷 熱 衝 擊 試 驗 控 制 器 U-8226S-ACCU1 簡 易 操 作 說 明 書 為 正 確 使 用 本 產 品, 使 用 前 務 必 先 詳 讀 本 說 明 書 為 必 要 時 方 便 使 用, 請 將 本 書 置 於 易 取 之 處, 並 妥 善 保 管 応 用 電 子 工 業 株 式 会 社 索 引 ( 一 ) 前 言 P.2 ( 二 ) 概 要 P.3 2-1. SYSTEM 構

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

阿莫电子AVR Dragon 使用说明书.doc

阿莫电子AVR Dragon 使用说明书.doc Atmel 原装 AVR 开发工具 Dragon 新手快速入门手册 本资料由阿莫电子编写 版权所有 商标资讯 ATMEL 与 AVR 分别是 ATMEL CORPORATION 的注册商标和商标 阿莫电子 mailshop.cn ouravr.com ourdev.cn 分别是阿莫电子的商标与域名 阿莫电子 1 安全需知 为防止损坏您的 AVR 工具, 避免您或他人受伤, 在使用本设备前请仔细阅读下面的安全需知,

More information

Microsoft Word - AVR JTAG ICE 使用手册V3.2F.doc

Microsoft Word - AVR JTAG ICE 使用手册V3.2F.doc AVR JTAG ICE 用户手册 Rev3.2R www.mcuzone.com 2005-07 第一章 JTAG ICE 相关信息 AVR JTAG 接口是具有 4 线测试存取通道 (TAP) 的控制器, 完全符合 IEEE1149.1 规格要求 IEEE1149.1 已经发展成为具有能够有效地测试电路板连通性的测试标准 ( 边界扫描 ) Atmel 公司的 AVR 器件扩展了它一些功能, 包括能够支持完整的编程和调试

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Microsoft Word - 营销目录C.doc

Microsoft Word - 营销目录C.doc 目 录 一 电 工 电 子 电 气 自 动 化 机 电 1 1. 电 工...1 2. 电 子...15 3. 电 气 自 动 化...27 4. 机 电...43 二 信 息 技 术 57 1. 码 摄 影 摄 像...57 2. 图 形 图 像 / 计 算 机...59 3. 国 外 经 典 系 列...70 三 建 筑 74 1. 园 林 景 观 艺 术 设 计 建 筑 学 城 市 规 划...74

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

九江职业大学2015届毕业生就业质量年度报告

九江职业大学2015届毕业生就业质量年度报告 2015 届 毕 业 生 就 业 质 量 年 度 报 告 - 0 - 二 一 五 年 十 二 月 九 江 职 业 大 学 2015 届 毕 业 生 就 业 质 量 年 度 报 告 前 言 九 江 职 业 大 学 是 1985 年 经 教 育 部 批 准 的 公 办 全 日 制 市 属 高 等 职 业 院 校 2006 年 4 月, 经 九 江 市 委 市 政 府 研 究 决 定 并 经 省 人 民

More information

HP LaserJet M2727nf MFP 項 次 : 37 品 名 : A4 規 格 (21 ~ 30 頁 ) 多 功 能 雷 射 印 表 機 ( 傳 真 功 能 ) 契 約 單 價 : NT$ 20,789 2. 列 印 速 度 : A4 紙 張 每 分 鐘 26 頁 3. 輸 出 格 式

HP LaserJet M2727nf MFP 項 次 : 37 品 名 : A4 規 格 (21 ~ 30 頁 ) 多 功 能 雷 射 印 表 機 ( 傳 真 功 能 ) 契 約 單 價 : NT$ 20,789 2. 列 印 速 度 : A4 紙 張 每 分 鐘 26 頁 3. 輸 出 格 式 HP LaserJet M2727nf MFP..............................34 HP LaserJet M35 MFP...............................35 HP LaserJet M35xs MFP.............................36 HP LaserJet M4345 MFP...............................37

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

<4D F736F F D20CAB5D1E9CAD2B9DCC0EDC6BDCCA856342E315FD1A7C9FAD3C3BBA7B2D9D7F7D6B8C4CF2E646F63>

<4D F736F F D20CAB5D1E9CAD2B9DCC0EDC6BDCCA856342E315FD1A7C9FAD3C3BBA7B2D9D7F7D6B8C4CF2E646F63> 议 用 户 许 可 协 实 验 室 管 理 平 台 学 生 用 户 操 作 指 南 (V4.1) 国 泰 安 信 息 技 术 有 限 公 司 GTA Information Technology Co., Ltd. 国 泰 安 信 息 技 术 有 限 公 司 1 用 户 许 可 协 议 用 户 许 可 协 议 版 权 与 所 有 权 声 明 实 验 室 管 理 平 台 是 由 国 泰 安 信 息 技

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

在Windows上安装Hadoop

在Windows上安装Hadoop 一见 2010.1.6 www.hadoopor.com/hadoopor@foxmail.com 1. 安装 JDK 不建议只安装 JRE, 而是建议直接安装 JDK, 因为安装 JDK 时, 可以同时安装 JRE MapReduce 程序的编写和 Hadoop 的编译都依赖于 JDK, 光 JRE 是不够的 JRE 下载地址 :http://www.java.com/zh_cn/download/manual.jsp

More information

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次 教 学 动 态 江 西 农 业 大 学 南 昌 商 学 院 教 务 部 主 办 2016 年 第 3 期 ( 总 第 45 期 ) 本 期 导 读 教 务 信 息 系 部 动 态 督 导 之 声 联 系 电 话 : 0791-83901432 电 子 邮 箱 :18251930216@163.com ( 本 期 共 印 18 份 2016 年 5 月 17 日 ) 教 务 信 息 教 学 日 常 运

More information

Microsoft PowerPoint - 2012?????????3 [Compatibility Mode]

Microsoft PowerPoint - 2012?????????3 [Compatibility Mode] 叶 师 傅 教 你 2012 龙 年 家 居 风 水 招 财 布 局 出 行 注 意 事 项 精 点 十 二 生 肖 龙 年 运 程 方 法 简 单 实 用 一 看 便 会 智 贤 庄 命 理 风 水 网 叶 沛 明 奇 门 遁 甲 为 你 运 筹 帷 幄 创 先 机 http://www.zhixianzhuangfs.com 简 介 古 人 有 学 识 奇 门 遁 能 把 天 下 论 之 说 法,

More information