AVR debugwire_avr_ispMkii

Size: px
Start display at page:

Download "AVR debugwire_avr_ispMkii"

Transcription

1 AVR debugewire 与 AVR ISP MKii 二合一 Version 1.1 感谢您选用 AVR 与虚拟仪器网站的产品,AVR debugewire 与 AVR ISP MKii 二合一 编程仿真器, 本仿真器支持所有具备 debugwire 仿真接口的芯片的仿真和所有 ISP 下载接口 的芯片的下载 第 1 页共 25 页

2 AVR debugewire 与 AVR ISP MKii 二合一...1 一 简介 产品概述 产品特点 支持芯片 产品清单 系统要求 关键术语...4 二 使用说明 USB 驱动安装 指示灯说明 连接仿真器与目标芯片 启用 debugwire 接口 关闭 debugwire 接口 使用 ISP 下载功能 使用 Debug wire 调试功能 如何自动升级 说明与注意事项...24 三 客户服务...24 保修服务 :...24 售后服务 :...25 联系我们 :...25 第 2 页共 25 页

3 一 简介 1 产品概述 AVR debugewire 与 AVR ISP MKii 二合一集成了 AVR debugewire 和 AVR ISP 的双功能多用途的仿真 编程一体机, 与 AVR Studio(AVR Studio 4.09 或更高版本 ) 相结合, 通过 USB 接可以对所有带 debugewire 接口的 AVR 单片机进行单线在片调试 (On-ChipDebugging) 和编程, 同时支持 AVR 全系列单片机的 ISP 程序下载 2 产品特点 a) 基于 ATMEL 生产的 AT JTAGICE mkii 而设计, 支持 AVRStudio, 使用方法同 AT JTAGICE mkii 一样, 简单易用, 稳定可靠 b) 实现与 AVR Studio 无缝连接, 仿真 下载 编程同步实现 c) 通过 debug wire 在片仿真芯片的数字和模拟功能, 完全实现 AVR 单片机的所有的电性能 d) 除了没有 JTAG 功能外,Debugwire 和 ISP 功能与 ATMEL 原装 AVR MKii 完全兼容 e) 采用 USB 接口与 PC 连接, 由 PC 进行编程和控制 f) 支持全系列 AVR 单片机的 ISP 下载和具有 Debug wire 接口的在片仿真 g) 可手工和自动升级, 支持未来的芯片 h) debugwire 与 ISP 可相互切换 ; 与市面上的同类开发工具不同, 不会造成使用 debugwire, 无法恢复 ISP i) 支持低压仿真 ; 支持目标 AVR MCU 的供电范围为 V, 能可靠仿真调试后缀带 L 及 V 的 AVR MCU j) 适用于开发 ATMEGA8; 由于该仿真器支持 ATMEGA88, 而 ATMEGA88 与 ATMEGA8 引脚兼容, 并具备 ATMEGA8 的功能, 硬件电路与源代码也几乎可以不做修改或做极少量修改而运行于 ATMEGA8 上, 开发期间使用 ATMEGA88, 量产改为 ATMEGA8 [ 降低了 MCU 的成本 ] 3 支持芯片 ISP 下载 : AT Tiny 系列 : ATtiny12 ATtiny13 ATtiny15 ATtiny22 ATtiny24 ATtiny26 ATtiny2313 AT90 系列 : AT90S1200 AT90S2313 AT90S/LS2323 AT90S/LS2343 AT90S/LS2333 AT90S4414 AT90S/LS4433 AT90S/LS4434 AT90S8515 AT90S/LS8535 AT Mega 系列 : ATmega8 ATmega16 ATmega32 ATmega48 ATmega64 ATmega88 ATmega103 第 3 页共 25 页

4 ATmega128 ATmega161 ATmega162 ATmega163 ATmega165 ATmega169 ATmega323 ATmega325 ATmega329 ATmega644 ATmega645 ATmega649 ATmega2560 ATmega2561 ATmega3250 ATmega3290 ATmega6450 ATmega6490 ATmega8515 ATmega8535 其他 : AT86RF401 AT89S51 AT89S52 AT90CAN128 AT90PWM2 AT90PWM3 Debug wire 单线仿真 ( 所有具有 Debug wire 接口 ): AT Tiny 系列 : ATtiny13 ATtiny2313 ATtiny24 ATtiny44 ATtiny45 ATtiny461 ATtiny84 ATtiny85 ATtiny861 AT mega 系列 : ATmega168 ATmega88 ATmega48 其他 : AT90PWM3 AT90PWM3B AT90PWM2 AT90PWM2B 4 产品清单 1 AVR debugewire 与 AVR ISP MKii 二合一 1 台 2 本站产品说明书 1 本 3 USB 连接线 1 条 4 资料及驱动光盘 1 张 5 系统要求 PC 软硬件至少满足 : Pentium (PentiumⅡ 或以上 ) 64 MB RAM 100 MB 空余硬盘空间 ( 用来来安装 AVR Studio 4.XX) Windows 操作系统如 Windows 2000 或 Windows XP USB 接口 6 关键术语 什么是 ISP? ISP 是 In System Program 的缩写, 意思是在系统编程 目前几乎所有的 AVR 芯片都具备 ISP 接口, 可通过 ISP 接口进行编程 它一共使用了两条电源线 :VCC GND, 三条信号线 :SCK MOSI MISO, 以及复位线 :RESET 由于仅仅使用了几个数据线, 所以我们亦常将其称为串行编程 需要说明的是 : 大部分 AVR 的 ISP 端口为 MCU 的 SCK,MOSI,MISO,RESET 引脚, 但少部分 AVR 的 ISP 端口则不是使用这些接口, 例如 :ATmega64 ATmega128, 它们使用的 ISP 端口是 :SCK,PDI,PDO,RESET 第 4 页共 25 页

5 什么是 debugwire? 为了降低成本和调试引脚的开销,ATMEL 公司在 AVR 器件上使用的新的调试接口 : debugwire 与 JTAG 相比其主要区别在于仅使用一根信号线 (RESET), 即可完成调试信息的交互, 达到控制程序流向, 执行指令以及编程熔丝位的功能 要注意的是,debugWIRE 不同于 JTAG, 它只是一种调试接口, 而不是编程接口 但是在使用中尚需接电源信号 : VTref(VCC) GND 它的总的连接图, 如下 : 其中的 RESET 信号即被用于传递调试信息,VTref 提供给仿真器目标板电源电压的信息 由于调试的时候使用了 RESET 引脚, 因此对该引脚的电气要求如下 : 如果该引脚上有上拉电阻, 那么该电阻不能低于 10K,( 一般不需要该上拉电阻 ); 该脚上不能有容性负载 ; 如果连接有别的逻辑电路, 应该断开 建议 : 在开发阶段, 断开 RESET 引脚上的复位电路, 包括上电复位与外部看门狗芯片复位等等 Debug WIRE 与 ISP: 目标 MCU 的 ISP 功能和 debug WIRE 功能是互斥的, 也就是说, 使能了目标 MCU 的 debug WIRE 功能后 ISP 功能就无法使用, 使能了 ISP 功能后 debug WIRE 功能就无法使用 因此必须有一种机制来确定 RESET 为何功能使用, 在具备 debug WIRE 的 AVR 器件中, 有一个可编程的熔丝位 DWEN, 如果该熔丝被编程且 LockBits 未被编程, 则 debug WIRE 功能被启用,RESET 引脚被作为 debug WIRE 与仿真器通信,ISP 功能被禁用 芯片出厂时该熔丝位是未编程的, 也即 ISP 功能是使能的,debug WIRE 被禁用 使用 ISP 功能时, 通过仿真器对 debug WIRE 熔丝进行编程使能, 可启用 debug WIRE 功能 ; 使用 debug WIRE 功能时, 通过仿真器对 debug WIRE 熔丝禁止, 可使能 ISP 功能 二 使用说明 1 USB 驱动安装 本编程器的 USB IC 使用了 PL2303HX, 性能稳定, 驱动安装非常方便, 双击一直下一步即可完成安装, 注意要在仿真器接到 PC 上之前安装, 安装完成之后再把仿真器接到计算机上 驱动文件的获得, 本站附送的光盘下的产品驱动目录下 debugewire_ispmkii.exe 文件, 网络下载 : AVR 与虚拟仪器网站的所有产品的使用说明和驱动程序可以在以下地址获得 : 第 5 页共 25 页

6 完成驱动程序的安装后, 将仿真器连接到 PC 机的 USB 口,PC 将发现新硬件 鼠标右键单击 我的电脑, 选择 管理, 打开后, 点击 设备管理器, 可查看到 mkii 的端口号, 如下图, 则是采用了 COM3: 记下它, 在之后使用 AVR Studio 中, 端口号选择 AUTO 或者 COM3 使用鼠标右键单 击上图出现的设备, 在窗口中, 点击 端口设置 可以看到下面的界面 : 第 6 页共 25 页

7 注意 :AVR Studio 在某些情况下, 无 COM5 以上可选,AUTO 也仅扫描 COM1-COM4, 那么, 如果仿真器在您的 PC 上检测为 COM5 以上, 需要设置 USB 转换的 COM 端口为 COM1 -COM4, 在上页界面上点击 高级, 弹出如下界面 : 从下面菜单中选择需要的 COM 端口号 (COM1-COM4) 即可 2 指示灯说明 仿真器有三个指示灯, 下面从左到右红灯 : 仿真器的工作指示灯, 当插上 USB, 红灯就会亮绿灯 : 目标板电源指示灯, 当目标板连接正常, 并且上电, 绿灯亮蓝灯 : 通讯指示灯, 不连接 AVR studio 时, 频闪, 连接 AVR studio 时, 常亮, 通讯 第 7 页共 25 页

8 时, 闪烁 3 连接仿真器与目标芯片 本仿真器的 10 芯接口是标准的 10pin ISP 接口,ISP 连接示意图如下 : 标准 10pin 的 ISP 接口和标准 6pin 的 ISP 接口如下图 : Debug wire 的连接方式示意图 第 8 页共 25 页

9 用 debug WIRE 接口进行调试时仅占用复位脚 RESET 一个引脚,JTAGICE mkⅡ 可通过该引脚与目标板进行通信 要使用 AVR 的 debug WIRE 接口进行在线调试, 必须对 debug WIRE 使能熔丝位编程 (DWEN = 0) 可以通过 ISP 或高压编程方式对 DWEN 进行编程 JTAGICE mkⅡ 支持 ISP, 可以操作 DWEN 熔丝位或编程芯片 ATMEL 的 STK500 和 AVRISP 也支持 ISP 功能 当 DWEN 熔丝被编程后,debug WIRE 将完全控制 RESET 脚, 因此 ISP 功能不能再被使用 当使用 debug WIRE 接口进行调试时, 仅 GND,VTref 和 nsrst 端口被使用 目标板的 debug WIRE 接口电路设计注意事项由于调试的时候使用了 RESET 引脚, 因此对该引脚的电气要求如下 : 如果该引脚上有上拉电阻, 那么该电阻不能低于 10K,( 一般不需要该上拉电阻 ); 该脚上不能有容性负载 ; 如果连接有别的逻辑电路, 应该断开 建议 : 在开发阶段, 断开 RESET 引脚上的复位电路, 包括上电复位与外部看门狗芯片复位等等 目标板的 ISP 接口电路设计注意事项任何 ISP 方式都不占用目标系统的 ISP 接口对应的 IO 口 但是如果 ISP 接口上挂的 IO 设备严重影响了 MCU 编程时所需要的 IO 电平, 那么可能导致您无法使用 ISP 连接上目标系统 设计电路时应注意该问题 4 启用 debugwire 接口 Debug wire 和 ISP 是冲突的, 不可同时使用,Debug wire 和 ISP 的切换是通过熔丝位 DWEN 的选择与否来实现的, 如果 DWEN 被编程, 则使能 Debug wire, 如果 DWEN 未编程, 则使用 ISP 下载, 如下图 : 第 9 页共 25 页

10 安装完驱动, 连接好硬件, 就可以使用仿真器的功能了 芯片出厂时的设置为 DWEN 未编程, 即默认处于 ISP 模式 想要开启 Debug wire 功能, 你可以用 ISP 编程, 把 DWEN(debug WIRE Enable Fuse) 熔丝位编程, 然后断电再上电, 重新连接, 即可进入 Debug wire 调试模式 ; 也可以按照 Debug wire 的连接方式示意图连接到标准 ISP 接口, 然后直接打开 *.COF 仿真文件, 这时候会弹出一个对话框, 出厂的 AVR 芯片 debugwire 熔丝位未编程, 如下图 : 选择 Use SPI to enable debugwire interface, 然后点击 OK, 你可以点 Help 查看相关帮 助 这时候出现如下界面, 点击 OK, 断开目标板供电电源, 之后, 重新上电, 便启动了 目标 MCU 的 debugwire 功能 第 10 页共 25 页

11 然后, 打开 COF 文件, 就可以进行仿真了, 如下图 : 注意 : 若连接硬件正确, 无故障存在, 而仍无法像上面步骤那样使能 debugwire, 那么 : (1) 请检查目标 MCU 的 RSTDISBL 熔丝位是否为 1( 如 ATmega48,RESET 功能脚即可做 debugwire 用, 也可做 IO 功能用 ) 若为 0, 表示该引脚做 IO 使用, 那么目标 MCU 的 RESET 功能已经被禁止, 需要只能用高压编程的方式恢复 RESET 功能 (2) 如果 AVR 的 LockBits 被编程,debugWIRE 的功能将不能使用 所以, 必须保证 lockbits 在未设置的状态下设置 DWEN 位, 也不要在 DWEN 位被编程的情况下设置 lockbits 一旦在 lockbits 被设置的情况下使能了 DWEN, 那么由于此时 debugwire 功能无效, 将无法返回 ISP 模式, 只能用高压编程的方式才你能擦除 lockbits, 才能使得 debugwire 功能启用 如何提高仿真速度 : debugwire 由于仅使用了一根数据线就达到了监控程序的目的, 导致了 debugwire 的仿真速度较慢, 那么有什么方法可提高 debugwire 的仿真速度呢? 第 11 页共 25 页

12 连接好所有相关设备, 打开 AVRStudio, 使用 debugwire 打开相关的工程调试文件, 待加载完目标文件后 ( 处于仿真状态下 ), 点击菜单栏的 Debug 选择 JTAGICE mkii Options, 如下面的图 在弹出的窗口中, 点击 19200(default) 的下来框, 选择 , 即可使用 波特率进行调试, 速率可以得到大幅提高 第 12 页共 25 页

13 5 关闭 debugwire 接口 当使用完 debugwire 调试, 需要回到 ISP 编程模式, 按照前面所说, 需要修改 DWEN 熔丝位, 这个操作必须由 debugwire 来完成, 因为此时 ISP 是不可用的 如何退出 debugwire 功能, 启用 ISP 功能? 必须在 debugwire 调试状态下, 连接好所有相关设备, 打开 AVRStudio, 如图, 在菜单栏的 Debug 栏目选择 : 第 13 页共 25 页

14 在弹出的窗口中, 点击 Disable debugwire 第 14 页共 25 页

15 之后, 如图, 将弹出该画面, 选择 是 : 点击 Y, 断开目标板供电电源, 重新上电, 便启动了目标 MCU 的 ISP 功能 6 使用 ISP 下载功能 如何进行 ISP 功能连接? AVR 芯片在出厂的时候,ISP 功能熔丝位是被编程的, 也就是说, 出厂的 AVR 芯片可以使用 ISP 功能 下面, 我们以 ATmega48 为例说明如何使用 mkii 的 ISP 功能 (1) 用 USB 连接线, 将 mkii 连接到 PC; 用 10PIN 连接线或 (10PIN To 6PIN 转接采线 ), 将 mkii 连接到目标板 ; 给目标板上电 (2) 打开 AVRStudio, 点击 avr studio 主窗口中的图标前面标有 Con 的那个图标 出现如下图画面 : 在左边, 选择 JTAGICE mkii; 在右边, 选择 Auto 或具体的 COM 口 ( 本例为 COM5), 第 15 页共 25 页

16 最后, 点击即可进行联机, 连接后, 将出现如下画面 : 如何使用 AVRStudio 软件的 ISP 功能项, 请参考 AVR ISP 和 AVR JTAG 下载烧录快速入门 : 使用 ISP 功能时, 为什么弹出警告窗口? 若是在操作中弹出如下窗口, 请检查 mkii 是否正确连接, 包括查看端口号是否正确等 可做如下操作以排除问题 : 1) 器件的时钟频率, 例如 ATmega48 芯片, 出厂时使用的是内部 1M 时钟, 且 CLKDIV8 (8 分频熔丝位 ) 是被编程的, 所以它的时钟频率极低, 此时, 必须降低 ISP 频率才能匹配速度 如下, 在 board 选项卡中设置 : 第 16 页共 25 页

17 该界面的 ISP 选项, 其频率必须等于或小于目标 MCU 时钟源的 1/4 例如, 系统时钟为 1MHz, 则这里必须设置为 250KHz 以下, 否则, 不能正常下载! 或者将目标 MCU 的 CLKDIV8(8 分频熔丝位 ) 编程为 1 为获得交为满意烧写速度,mkII 出厂的默认配置是 1.000MHz! 即是默认目标 MCU 时钟源必须为 4M 以上, 否则, 请降低这里的 ISP 频率 在 ISP 右侧下拉栏选择 ISP 频率, 选定之后, 点击右侧的 Write, 写入 mkii, 时钟匹配后, 将可正常操作 ( 若不改动, 以后的操作将以该频率进行 ) 2)ISP 功能被禁用, 可能是使用 debugwire 调试后没恢复 ISP 功能, 解决方法见如何禁止 debugwire 7 使用 Debug wire 调试功能 Debug wire 和 JTAG 不同, 它只是仿真接口, 而不能用于下载,JTAG 可以用于调试也可以用于下载 片上 (On-Chip) 调试选项在调试过程中, 点击 AVR Studio 的菜单 :Debug -> JTAGICE mkii Options, 便可进入 第 17 页共 25 页

18 片上调试选项的设置 这个 JTAGICE mkii 属性对话框可以对 JTAG 和 debugwire 进行设置, 它包括 4 个不同的标签页 : 连接 (Connection) 调试 (Debug) 状态 (Status) PWM( 只有部分芯片才有效 ) debugwire 连接当使用 debugwire 与调试芯片连接后, 连接对话框 (Connection Dialog Box) 的内容如下 : 上图中的 COM 口 (COM Port) 选项是灰的, 表明现在是通过 USB 与 PC 连接 从图中可以看到, 使用 debugwire 口连接仿真时, 这个对话框中唯一可以使用的就是禁止 debugwire(disable debugwire) 这个按钮 点击这个按钮可以禁止 debugwire 口, 恢复 ISP 接口的使用 调试对话框 (Debug Dialog) 该对话框里包含了关于使用 JTAG 或 debugwire 调试的一些选项 JTAG ( 注 : 本仿真器不支持 JTAG, 仅做为一个资料参卡 ) 当使用 JTAG 口调试时, 该对话框的内容如下 : 第 18 页共 25 页

19 " 在停止模式时计数器仍然运行 (Run Timers in Stopped Mode)" 这个选项表示, 当采用单步运行调试程序代码时, 芯片的计数器照常运转 如果这个选项没有被选中, 芯片中计数器就会严格按照时钟周期, 并代码的单步执行相同步的精确运转 " 芯片编程芯片时保存 EEPROM 中的内容 (Preserve EEPROM contents when reprogramming device)" 选中此会避免编程的时候擦除 EEPROM 中的数据 " 编程芯片时总是激活外部复位 (Always activate external reset when reprogramming device)" 在执行完芯片擦除指令后,JTAGICE mkii 将会释放先前置低的 RESET 线, 该选项可以允许 / 禁止这个功能 " 在输出窗口中显示断点信息 (Print breakpoint information in output window)" 用户可以通过这个选项允许 / 禁止断点信息在消息输出窗口中的显示 " 禁止 BREAK 指令 (Disable BREAK instruction)" JTAGICE mkii 使用 JTAG 接口时支持 3 个硬件断点 当有超过 3 个的硬件断点时, 将会在代码中插入一个 BREAK 指令 选定这个选项可以禁止此项功能 注意 : 这个选项不会影响人为设定的 BREAK 指令 ( 在代码中 ), 在仿真过程中, 要跳过程序中一条人为设定的 BREAK 指令时, 在该 BREAK 指令处点击右键, 选择 "Set next statement" " 在运行模式中允许 I/O 调试寄存器 (Enable I/O Debug Register (IDR) in run mode)" 选中此选项时, 表示允许某些芯片 ( 这些芯片中的 IDR 和 OSCCAL 寄存器共享同一个 I/O 地址 ) 通过 IDR/OSCCAL 寄存器输出 IDR 事件 IDR 事件的发生是在应用程序写 IDR 寄存器时 " 程序下载 (Program Download)" 这一部分允许用户设定和选择程序下载的不同时机 第 19 页共 25 页

20 程序启动 " 入口函数 (Entry function)" 当在 AVR Studio 中实行复位操作后,AVR Studio 会返回到这个函数的开始处 默认的入口函数是 'main' 函数, 但是用户可以定义成其他的函数, 例如 _C_startup "Warn & break if not found or not in section corresponding to boot reset fuses". AVR Studio 能检测所描述的入口函数是否存在于由复位启动熔丝位所设定的区域中 如果这个选现被选中, 当出现下面情况时会给出警告提示, 程序则停止在复位中断向量处 1. 入口函数不存在, 或没有检测到 2. 入口函数在程序代码区, 复位引导熔丝被编程 3. 入口函数在引导装载区, 复位引导熔丝没有被编程如果这个选项没有被选中, 就不会有警告提示产生,AVR Studio 会找到入口函数的开始地方 对于一个 AVR 汇编工程,Studio 会停在复位向量处 debugwire 使用 debugwire 调试时, 调试标签框的内容如下 : 与使用 JTAG 调试不同,"Preserve EEPROM...", "Always activate...", "Disable BREAK...", "...Enable I/O Debug..." and "...Program Startup..." 这些选项都不可用 debugwire 不支持硬件断点 当在 AVR Studio 中插入一个断点 ( 使用 debugwire 调试 ),JTAGICE mkii 将在芯片中相应的程序地址处加入一个 BREAK 指令 " 程序下载 (Program Download)" 这一部分允许用户设定和选择程序下载的不同时机 第 20 页共 25 页

21 状态标签 (Status Dialog) 状态标签的内容显示如下 对于 JTAG 芯片, 可以从芯片中读出 JTAGID 可以从 JTAGID 中获得芯片版本的信息, 具体方法请参考数据手册的相关部分 状态标签报告了当前 JTAGICE mkii 和目标芯片的状态 PWM 标签 (PWM Dialog)( 仅 AT90PWM2/3 有效 ) 这个标签仅对调试 AT90PWM2/3 芯片时可用 下面显示了功率放大控制器 (Power Stage Controllers) 和模拟比较器 (Analog comparators) 的设置 第 21 页共 25 页

22 处理器视图 ( Processor view) 下面显示的是 AVR Studio 中的处理器视图, 使用 JTAG 和 debugwire 时没有区别 使用 JTAGICE mkii 时运行时钟周期计数器 (Cycle Counter) 系统时钟频率(Frequency) 和运行时间计时表 (StopWatch) 功能无效, 这是由于采用片上调试功能, 程序是在芯片里实际运行而导致的 8 如何自动升级 固件升级若是有新型号的 AVR 芯片推出, 那么,ATMEL 官方将会对 AVRStudio 进行版本更新, 以便将新的器件列入 AVRStudio 的软件支持列表 若是您需要使用这些新的 AVR 芯片, 那 第 22 页共 25 页

23 么通过固件升级的方式, 可以支持更多的器件 在每次 ATMEL 官方升级了 JTAGICE mkii 的固件 ( 通常包含在最新的 AVRStudio 中 ) 之后, 我们也会推出更新后的固件, 放到网站上供用户下载后自行升级 固件程序名字为 jtagicemkii.dat 固件升级具体操作如下 : (1) 打开 AVRStudio 的安装目录下的 JTAGICEmkII 文件夹,( 若使用默认路径安装, 则它的路径为 C:\Program Files\Atmel\AVR Tools\JTAGICEmkII ), 打开后可以看到以下文件 : 注意, 该文件夹内本身就有一个同名文件, 应将其改名, 比如改成 jtagicemkii_atmel.dat, 以免在下面的操作中覆盖了它 这样, 日后若需要该文件改回原名即可 (2) 将新的 jtagicemkii.dat 拷贝到该文件夹下 然后连接调试器的 USB 口, 断开与目标板的连接, 此时调试器的状态灯会闪烁 接着运行 : 在界面中选择正确的连接端口,( 例如 COM5): 点击 Start Upgrading, 等待数秒, 即可进行固件升级, 在升级的过程中, 调试器的状态灯将停止闪烁, 下面是升级完毕后的界面 : 第 23 页共 25 页

24 更新完成后, 调试器的 LED 将恢复闪烁状态, 若更新正确, 那么将有如下的提示信息 : 按照提示要求, 给调试器重新上电 ( 插拔 USB 连接线 ), 就可以使用新的固件程序了 特别注意 : 不要使用 atmel 原来的固件用于更新, 那样虽能完成更新, 但是更新成功后, 调试器将不再工作, 状态灯也不会再闪烁 这是因为在升级的过程中有校验的机制 不过, 这不会造成调试器的永久损坏, 只要重新下载一个正确的固件并再升级一次即可 补充说明 : 出厂配套调试器的固件程序是 : jtagicemkii.dat 9 说明与注意事项 该调试器外壳上的串口 电源接口 电源开关无任何功能连接, 不需要使用到 USB 驱动软件在光盘的 debugwire_avr_ispmkii.exe 文件, 某些系统可能连续两次提示需要安装驱动, 那么再次安装即可 建议用户使用调试器前, 先安装最新版本 AVRStudio4b528.exe 软件, 这样可以少去版本不兼容, 需要升级固件程序的麻烦!AVR 与虚拟仪器网站提供的本程序的下载地址 : 由于调试器需要检测目标板电压, 所以目标板必须有电源提供 目标 MCU 的 ISP 功能和 debugwire 功能是互斥的, 也就是说, 使能了目标 MCU 的 debugwire 功能后 ISP 功能就无法使用, 使能了 ISP 功能后 debugwire 功能就无法使用 有一个可编程的熔丝位 DWEN, 如果该熔丝被编程且 lockbits 未被编程, 则 debugwire 功能被启用,RESET 引脚被作为 dw 与调试器通信,ISP 功能被禁用 芯片出厂时该熔丝位是未编程的, 也即 ISP 功能是使能的,debugWIRE 被禁用 使用 ISP 功能时, 通过调试器对 debugwire 熔丝进行编程使能, 可启用 debugwire 功能 ; 使用 debugwire 功能时, 对通过调试器对 debugwire 熔丝禁止, 可使能 ISP 功能 三 客户服务 保修服务 : 时限 : 一年 - 免费保修 ;( 正确使用下产生的质量问题, 免费保修 ) - 收费保修 ;( 非正确使用情况下产生的质量问题, 视损坏程度收取 5%-30% 维修费 ) - 请妥善保留好收据 发票 ; 第 24 页共 25 页

25 - 若有往来的运费产生, 运费由客户方承担 售后服务 : 时限 : 一年 - 售后服务只限产品的使用服务, 不包括教导相关软件使用等 ;( 配套光盘已有软件使用教程 ) - 不负责上门服务 联系我们 : AVR 与虚拟仪器 : 网址 : 论坛 : 商城 : 电话 ( 传真 ): 第 25 页共 25 页

AVR mkII Lite 说明书

AVR mkII Lite 说明书 AVR mkii Lite 使用说明 Team MCUzone http://www.mcuzone.com 版本 :Rev1.0 www.mcuzone.com 2006-12 版本更新说明 Rev 1.0 第一版文件创建 2006-12-06 AVR mkii Lite 使用说明 AVR mkii Lite 为我站推出的 AVR 系列仿真器 支持带有 debugwire 调试接口的 AVR 器件

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63>

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF2E646F63> AVR 硬件开发工具选型指南 版本 :Rev1.0 www.mcuzone.com 2008-01 Team MCUZone http://www.mcuzone.com AVR 硬件开发工具选型指南 - 1 - Ponyprog-stk USB ASP USB STK500 AVR 200 ICE AVR Dragon AVR ISP mkii mkii lite V2 mkii 完全版 与 PC

More information

Microsoft Word - JTAGICE mkⅡ 中文使用说明

Microsoft Word - JTAGICE mkⅡ 中文使用说明 JTAGICE mkⅡ 使用说明 一. 前言 1. 关键词说明 JTAGICEMKⅡ: JTAGICE mkⅡ 与 AVR Studio(AVR Studio 4.09 或更高版本才能使用 JTAGICE mkⅡ) 相结合, 通过 COM 或 USB 可以对所有带 JTAG 或 Debugwire 接口的 AVR 单片机进行在片调试 (On-Chip Debugging) 和编程 JTAGICE mkⅡ

More information

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF56322E646F63>

<4D F736F F D D3B2BCFEBFAAB7A2B9A4BEDFD1A1D0CDD6B8C4CF56322E646F63> AVR 硬件开发工具选型指南 Team MCUZone http://www.mcuzone.com 版本 :Rev2.0 www.mcuzone.com 2009-06 AVR 硬件开发工具选型指南 - 1 - 1. 并口 AVR 2.USB ASP 3. USB 4. AVR JTAG 5. AVR 6. AVR ISP 7. mkii lite 8. mkii-cn 9. mkii 完全版 ISP(stk200)

More information

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页

目 录 1 系统概述 支持芯片 指示灯状态 驱动安装 接口电路设计 使用 AVR Studio 进行编程和仿真 固件升级 常见问题处理 服务与支持...17 第 2 页共 17 页 AVRVI mkii PRO 多功能编程仿真器 本文件版本 :V1.0.3 仿真器版本 :V2.3 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2

More information

AVRVi MkII 多功能编程仿真器

AVRVi MkII 多功能编程仿真器 AVRVi MkII 多功能编程仿真器 产品用户手册 文件版本 : Version 0.9 适用产品版 3.5 感谢您选用 AVR 与虚拟仪器网站的产品,AVRVi MkII 多功能编程仿真器是一款精心打造, 采用全新软硬件结构设计的通用型多功能在线编程 在线仿真一体机, 本产品是目前除原装 AVR JTAG MkII 之外最专业的一款 AVR 仿真下载工具, 各功能均可自动升级 本仿真器 JTAG

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

JTAG & ISP二合一编程仿真器 使用说明书 V1

JTAG & ISP二合一编程仿真器 使用说明书 V1 JTAG & ISP 二合一编程仿真器使用说明书 V1.0 2006-12-12 第一版第 1 页共 24 页 一 简介 1 产品概述 AVR JTAG & ISP 是一款集成了 AVR JTAG 和 AVR ISP 的双功能多用途的仿真 编程一体机, 与 AVR Studio(AVR Studio 4.09 或更高版本才能使用 AVR JTAG & ISP) 相结合, 通过 COM 或 USB 可以对所有带

More information

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书

AVR JTAGICE 仿真器 与 AVR ISP 编程器 二合一V2.5 使用说明书 V2.5 本文件版本 :V2.0 仿真器版本 :V2.5 在使用时, 请首先将本产品与目标板连接, 并给目标板供电, 然后才能在 AVR Studio 中使用相应的编程 仿真功能 仿真器通过目标接口的第四脚是高还是低来判断是 JTAG 还是 ISP 接口, 实现自动识别, 所以使用 JTAG 接口时,PIN4 必须接 VCC 目 录 1 系统概述...3 2 支持芯片...3 3 指示灯状态...4

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 一. AVR Programmer Software V1.26 2007.8 说明手册 AVR Programmer Software V1.26 2007.8 是 315avr 网站自己开发的一款 AVR 编程软件, 支持本站开 发的可脱机系列产品 :( 可脱机 USB AVRISP 下载器, USB AVR Pro/ISP( 可脱机高压编程器 +ISP 下载器 ) 等 ) 1. 软件安装说明

More information

TSL-AVRJTAGICE仿真器用户手册

TSL-AVRJTAGICE仿真器用户手册 AVR JTAGICE 用户手册 版本 :V06.01.10 2006.01 1 概述 TSL-AVRJTAGICE 是蓝猫电子推出的一款与 ATMEL 公司的 JTAGICE 相兼容的中低价位的 AVR 单片机仿真器 它可以对所有具有 JTAG 接口的 AVR 单片机进行实时在线仿真 JTAG 接口是具有 4 线测试存取通道 (TAP) 的控制器, 完全符合 IEEE1149.1 规格要求 Atmel

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464>

<C8EBC3C5C6AAA3A8B5DA31D5C2A3A92E696E6464> 第 1 章 进入 Photoshop 的全新世界 本章导读 Photoshop 1 1.1 Photoshop CS6 Photoshop Photoshop 1.1.1 Photoshop POP 1-1 图 1-1 平面广告效果 1.1.2 Photoshop 1-2 Photoshop CS6 Photoshop CS6 Photoshop CS6 Extended 3D 3 Photoshop

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Microsoft Word - AVRISP MKII使用说明

Microsoft Word - AVRISP MKII使用说明 AVRISP MKII 编程器使用说明 谢谢您使用 AVRISPMKII 下载器如有问题, 可与我处技术支持部门联系 AVR 单片机开发工具网友情赞助, 提供测试所需的各种开发平台, 再这里向 AVR 单片机学习网的朋友致以诚挚的谢意 网址 :www.avrtool.com 总体介绍 产品简介 AVRISP MKII 下载器是 ATMEL 公司开发的 AVRISP 第二代产品,USB 接口 AVRISP

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

315avr网站可脱机系列产品使用说明书

315avr网站可脱机系列产品使用说明书 本说明书包含以下五部分 : 一. AVR Programmer 软件使用手册二. 可脱机 USB AVRISP 下载器使用说明书三. 可脱机高压编程器 USB AVR Pro/ISP 使用说明书四. USB AVRISP + JTAGICE 2 合 1 使用说明书五. 并口 AVRISP 下载线使用说明书 发及其配套产品的开发, 提供 AVR 单片机芯片相关产品的邮购服务 并提供给 AVR 爱好者

More information

Microsoft Word - AVRISP使用说明书

Microsoft Word - AVRISP使用说明书 MINI USB AVRISP 使用说明书 EDA 加油站 (V1.0 版 ) 电话 :0546-7870320 手机 :(0)13371507828 邮件 :51edacn@163.com QQ:393045950 地址 : 山东东营北二路 271 号 2007 年 8 月 学习交流的场合, 大家交流的理想场所 0546-7870320 0 目录 简介 2 入门指导 4 安装驱动 5 硬件连接 11

More information

JTAGICE mkⅡ使用说明

JTAGICE mkⅡ使用说明 下载仿真器用户手册 2008 年 10 月 21 日 前 言 双龙电子出品的 SL-USBISP II 下载仿真器, 是集 USB(FullSpeed) 通信 AVR/AVR32 微控制器的 JTAG 编程 AVR/AT89S 微控制器的 ISP 在系统编程 AVR32 微控制器的 JTAG 仿真功能于一体的开发工具, 有较高的性能价格比 在本手册中第一章介绍了 SL-USBISP II 下载仿真器的一些特点

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

Microsoft Word - AVR JTAG ICE 使用手册V3.2F.doc

Microsoft Word - AVR JTAG ICE 使用手册V3.2F.doc AVR JTAG ICE 用户手册 Rev3.2R www.mcuzone.com 2005-07 第一章 JTAG ICE 相关信息 AVR JTAG 接口是具有 4 线测试存取通道 (TAP) 的控制器, 完全符合 IEEE1149.1 规格要求 IEEE1149.1 已经发展成为具有能够有效地测试电路板连通性的测试标准 ( 边界扫描 ) Atmel 公司的 AVR 器件扩展了它一些功能, 包括能够支持完整的编程和调试

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

Microsoft Word - MSP430-FPA使用指南.doc

Microsoft Word - MSP430-FPA使用指南.doc MSP430-FPA 使用手册 Team MCUzone http:// 版本 :Rev1.0 2006-10 - 1 - 版本更新说明 Rev 1.0 第一版文件创建 2006-10-21-2 - MSP430-FPA 使用手册 MSP430-FPA( 以下简称 FPA) 是由加拿大的 Elprotronic 公司推出的专门针对 MSP430 的编程 / 调试工具, 具体特性如下 : 通过 JTAG

More information

AVR JTAG MKII克隆版快速指南.doc

AVR JTAG MKII克隆版快速指南.doc AVR JTAG MKII 克隆版快速指南 ( 货号 :6020) 兼容性说明 : AVR JTAG MKII 克隆版与原装 AVR JTAG MKII 完全兼容, 也就是说所有适合原装 AVR JTAG MKII 的文档 资料 软件等, 均可以应用于我们的 AVR JTAG MKII 克隆版 详细的使用 方法您可以参考 AVR Studio 中帮助里的有关说明, 点击 HELP >AVR ToolsUserGuide

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Microsoft Word - OPIGIMAC 譯本.doc

Microsoft Word - OPIGIMAC 譯本.doc OPISYSTEMS OPIGIMAC 系 統 使 用 說 明 使 用 者 手 冊 OPI 版 本 7.0.X 140705 翻 譯 版 本 V1.0 Table of Contents 頁 數 1. 簡 介 3 2. 系 統 需 求 4 3. 安 裝 4 4. 開 始 OPIGIMAC 5 5. 功 能 列 7 6. 功 能 圖 示 鍵 10 7. 重 點 操 作 說 明 13 7-1. 設 定

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Microsoft Word - Codewarrior IDE快速入门.docx

Microsoft Word - Codewarrior IDE快速入门.docx Codewarrior IDE 快速入门 本章我们以 Codewarrior HC08 V3.1 为例, 创建一个工程, 编辑源文件, 编译后进行调试 1 创建新项目 打开 codewarrior IDE 后, 出现如图 2.1 的界面 在 File 菜单中选择 New 图 2.1 codewarrior 在弹出的新窗口中, 选择 HC(S)08 New Project Wizard, 在 Project

More information

酒 神 (长篇小说)

酒  神  (长篇小说) 酒 神 ( 长 篇 小 说 ) 作 家 : 莫 言 第 一 章 一 省 人 民 检 察 院 的 特 级 侦 察 员 丁 钩 儿 搭 乘 一 辆 拉 煤 的 解 放 牌 卡 车 到 市 郊 的 罗 山 煤 矿 进 行 一 项 特 别 调 查 沿 途, 由 于 激 烈 思 索, 脑 袋 膨 胀, 那 顶 本 来 晃 晃 荡 荡 的 五 十 八 号 咖 啡 色 鸭 舌 帽 竟 紧 紧 地 箍 住 了 头

More information

(Microsoft Word - 136\260g\270\364\252\272\267s\256Q.doc)

(Microsoft Word - 136\260g\270\364\252\272\267s\256Q.doc) 日 本 短 篇 推 理 小 說 136 迷 路 的 新 娘 赤 川 次 郎 著 序 曲 啊 頭 好 痛 啊! 太 柔 軟 的 枕 頭 在 頭 痛 時 刻, 反 而 產 生 了 反 效 果 按 了 太 陽 穴 好 幾 次, 又 緊 閉 著 眼 晴 再 張 開 重 複 地 做 了 這 些 動 作 之 後, 終 於 稍 微 減 輕 了 頭 痛 在 這 種 情 況 之 下 醒 來, 已 經 不 是 第 一

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

USB Debug Adapter用户手册.pdf

USB Debug Adapter用户手册.pdf USB Debug Adapter For C8051F MCU 用 户 手 册 目 录 USB Debug Adapter 简介...1 开发工具特点...1 产品标配附件...1 仿真器接口关系图...2 USB Debug Adapter 安装说明...3 USB Debug Adapter 在 Silabs IDE 中的设置说明...5 USB Debug Adapter 在 Keil 3

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

电脑故障诊断排除 1200 例 1 PnP/PCI Configurations PnP OS INSTALLED YES NO CMOS CMOS 2 3 Award Soft Ware, Inc System Configurations 诊断排除 : BIOS BIOS 4 5 Pentium

电脑故障诊断排除 1200 例 1 PnP/PCI Configurations PnP OS INSTALLED YES NO CMOS CMOS 2 3 Award Soft Ware, Inc System Configurations 诊断排除 : BIOS BIOS 4 5 Pentium Chapter 1 1 CPU :,,? 魔法师 : 不会吧! 让我看看, 可能是你没有正确的操作吧, 虽 然电脑会经常出现故障, 但新的电脑应该很少遇到这 种情况的! :,,! 魔法师 : 关于电脑故障的问题太多了, 我得分类为你讲解, 否 则你掌握起来会很困难的, 下面将分别为你讲解主板 和 CPU 故障的诊断与排除方法 学习要点 : 主板故障诊断与排除 CUP 故障诊断与排除 电脑故障诊断排除

More information

3

3 User Guide - APAC SEED-XDS510PLUS 仿真器安装指南 适用于 CCS4.x&Above Version:C 2017.11 声明北京艾睿合众科技有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应和服务的权利 用户在下订单前应获取相关信息的最新版本, 并验证这些信息是当前的和完整的 版权 2017, 北京艾睿合众科技有限公司

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用 户 类 型 个 人 网 上 银 行 客 户 采 用 数

第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用 户 类 型 个 人 网 上 银 行 客 户 采 用 数 山 西 省 农 村 信 用 社 ( 农 村 商 业 银 行 / 合 作 银 行 ) 个 人 网 上 银 行 用 户 使 用 手 册 ( 版 本 v1.0) 第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用

More information

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63>

<4D F736F F D D3332B3CCD0F233D6D6CFC2D4D8B7BDCABDCBB5C3F72E646F63> 下面介绍 U-Link J-Link 和 ISP 下载 STM32 程序的配置方法, 以 MDK3.24 为例, 也 可以使用 MDK3.80 或者更高版本的 MDK, 过程类似 此文档仅供学习和交流使用 STM32 程序 U-Link 下载说明 (1)ULink 下载工具如图 1 所示 图 1 ULink 下载工具 (2) 双击 MDK 安装文件图标进行安装, 在后续出现的窗口中依次点击 Next

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

SA1MXX Chinese user manual

SA1MXX Chinese user manual Register your product and get support at www.philips.com/welcome SA1MXX02B SA1MXX02KN SA1MXX02K SA1MXX04KN SA1MXX02W SA1MXX04B SA1MXX04K SA1MXX04P SA1MXX04W SA1MXX04WS SA1MXX08K 1 2 2 3 2 Digital Audio

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500017-Z-12 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 如何在目标板上编程 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0. 2009-2-10 Benjamin. Yang 初稿 1.1. 2009-2-11 Benjamin. Yang 修改 1.2 2010-1-11 Ivan. Xiao

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

MEGAWIN

MEGAWIN Megawin 8051 ISP via COM Port 用户手册 By Vincent Y. C. Yu July(avenbbs) 译 This document information is the intellectual property of Megawin Technology Co., Ltd. 1 目 录 1 什么是 ISP...3 2 用于 ISP 的芯片配置...4 2.1

More information

Microsoft Word - AccessPortal使用手册v3.1.doc

Microsoft Word - AccessPortal使用手册v3.1.doc HighGuard Access Portal 使用手册 地址 : 上海市张江高科技园区松涛路 563 号海外创新园 B 座 301-302 室 电话 : 021-50806229 传真 : 021-50808180 电子邮件 : marketing@highguard.com.cn 第 1 页共 24 页 目录 1. ACCESSPORTAL 概述...4 1.1. AccessPortal 主页面

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information