MSP432 产品培训

Size: px
Start display at page:

Download "MSP432 产品培训"

Transcription

1 MSP432 产品培训 T e x a s I n s t r u m e n t s I n c o r p o r a t e d

2 MSP432 产品培训 目录 第一章 MSP432 MCU 概览... 2 第二章 Cortex-M4F 内核和中断 第三章电源系统 未完待续 MSP432 Microcontroller Workshop Revision

3 第一章 MSP432 MCU 概览 本章节将主要对 MSP432 系列 MCU 的性能和特色进行一个概括性的介绍 MSP432 系列 MCU 属于低功耗 高性能的微控制器 这个系列产品是 TI 的 MSP 低功耗 微控制器系列中的产品,MSP 系列产品还包括我们的 FRAM 产品和安全与互联型 MCU ( 例如 RF430 产品 ) MSP432 系列是最新的更高主频和更丰富外设通用微控制器产品 2 第一章 MSP432 MCU 概览

4 在实际应用中, 客户通常希望能解决哪些问题? 很多时候, 我们发现首先客户希望能提高处理能力 他们希望能进一步增强器件的功能 对数据的吞吐能力或模拟方面的性能, 或许他们也正在进行 ARM 内核的标准化工作 同时, 他们还需要低功耗, 尤其是采用电池供电的应用, 或一些只需极少电量的应用情况下 第三, 他们也希望工具和软件能方便易用, 并能帮助他们优化性能及功耗 最后, 他们还需要产品拥有一个可扩展的平台, 让他们能够在低功耗产品与高性能产品之间自由切换 MSP432 凭借 32 位的 48 MHz Cortez -M4F 内核让这些问题迎刃而解 此处理器可提供更高性能, 比如是 M3 内核的两倍性能, 而同时功耗只有一半 大家应该知道低功耗的概念根植于 MSP 的 DNA 中 我们已将此 MSP432 器件设计成超低功耗的通用型 Cortex- M 微控制器 在工作模式下功耗仅为 95 ua/mhz, 而待机功耗仅为 850nA, 其中包括了 RTC 的功耗 同时, 我们希望用户能充分利用 MSP430 的工具链, 以及 ARM 的工具链, 以获得最佳的高性能和低功耗 现在, 由于 MSP430 平台的延伸, 用户可以在 16 位内核产品和 32 位内核产品之间自由选择, 所有这些产品之间均具有无缝移植能力 3 第一章 MSP432 MCU 概览

5 由于性能是 MSP432 的一个关键目标, 因此我们选择了性能最高的 Cortex M 内核, 这一点十分重要 Cortex -M4F 内核包含对完整 ARM 指令集的访问权限, 此外还包含了 DSP 扩展指令和一个浮点 FPU 模块 同时非常重要的一点是, 我们在该器件内内置了高性能的外设和一些特色 例如, 我们在 ROM 中预先烧录了驱动程序库 与调用位于 Flash 中的驱动程序库相比, 现在您可以用更快的速度调用位于 ROM 中的驱动程序库 内置的闪存是独立的同步 Flash, 允许用户同时进行读和写操作, 而这通常是很花费时间的 另外, 控制器中内置的模拟模块是我们目前为止速度最快的 1MSPS 的 14 位 ADC, 这可以让您以更快的速度进行数据采样 4 第一章 MSP432 MCU 概览

6 与 M4 M3 或 M0+ 内核相比, 您会看到 Cortex M4F 内核支持一个完整的 ARM 指令集 包括扩展的 DSP 指令和浮点处理指令 因此, 您可以更高效地执行运算 从图中您可以看到,Cortex M4F 内核处理定点运算的速度是 M3 内核的两倍, 而浮点运算速度比 M3 内核快十倍以上 5 第一章 MSP432 MCU 概览

7 说到低功耗, 首先我们对芯片在硅片的级别上就进行了低功耗优化 我们加入了宽工作电压范围等功能 MSP432 可在 1.62V 下工作, 这包括全速代码运行以及闪存访问 我们还集成了 DC/DC 稳压器, 让您在超过 24 MHz 的频率时提高工作效率 而闪存的缓冲器 NTMA 可帮您最大限度的减小 CPU 执行周期 在器件具备低功耗性能的同时, 我们也提供帮助实现低功耗的工具和软件 位于 ROM 中的驱动程序库所需要的功耗也低于在闪存中运行驱动程序的情况 而 TI 提供的诸如 ULP Advisor 和 Energy Trace+ 等工具可帮助用户优化代码, 从而避免在不必要的情况下产生额外的功耗 6 第一章 MSP432 MCU 概览

8 Coremark 的基准测试的开发组织 EEMBC 推出了称为 ULPBench 的全新基准测试, 用于测量不同微控制器之间的超低功耗性能 在图中可以看到,MSP430 真正拥有标杆性的 ULPBench 得分, 测试表明我们的 MSP432 产品具有最高的能效 此处的数值越高表明功耗越低 7 第一章 MSP432 MCU 概览

9 MSP432 提供了 Energy Trace+ 等工具来实时的查看整个器件的功耗 您可以随时测量 电流或检查 CPU 状态, 并进行跟踪, 从而确定在哪些处理中可能存在功耗黑洞 8 第一章 MSP432 MCU 概览

10 上图是 MSP432 微控制器的框图, 让我们更近距离的观察该器件 图中的橙色部分的外设与传统的 MSP430 中的外设相同, 这一点对于想要将代码从 16 位 MSP430 器件移植到 32 位 MSP432 器件的用户而言十分重要 蓝色部分的外设则是 MSP432 中新增或者功能增强的外设部分 9 第一章 MSP432 MCU 概览

11 图中显示的是最新的 TI MSP430 平台, 包括产品, 软件, 硬件和开发套件 现在, 我们来看一下原有的 MSP430 用户如何开发 MSP432, 有哪些部分可以无缝移植? 可以看到, 所有以红色标明的 MSP430 产品部分都与 MSP432 中的相同 您将发现, 唯一不能进行移植的是内核, 这是因为我们采用了 32 位的 ARM Cortex -M4F 内核 同时,MSP432 采用了一些新的组件 新的 432 模块和 ARM 模块, 这些新模块都同时附带新的软件 您可以使用寄存器级的软件库或使用驱动程序库进行编程 ARM 用户则有机会利用 CMSIS 风格的编码, 并且我们提供了一些新的 IDE 10 第一章 MSP432 MCU 概览

12 MSPWARE 作为一站式服务, 为您提供所有必要的技术配套资料 您在此处可以看到, 所有的用户指南和数据手册 培训 应用手册和代码示例都包含在 MSPWARE 中 这是 您进行评估和代码开发的最佳起点 11 第一章 MSP432 MCU 概览

13 用户可以使用 MSP432 Launchpad, 这是价格仅为 美元的一体化工具, 其中包括板上仿真器以及包括按钮和 LED 在内的用户界面, 并通过 USB 连接到您的电脑 这是评估 MSP432 的最佳途径 具体做法是尝试使用示例代码和即开即用的 GUI, 开始着手进行您自己的软件开发 12 第一章 MSP432 MCU 概览

14 MSP432 系列有六种不同的器件供选择 带 R 的器件具有 256k 闪存和 64kRAM, 而带 M 的器件则有 128k 闪存和 32kRAM 您将看到 TI 提供三种不同的封装类型, 可以根据具体应用选择最适合的选项 最小的是 5*5mm 的 BGA 封装, 此外还有 64QFN 和 100LQFP 封装 第一部分的简要介绍到此结束 13 第一章 MSP432 MCU 概览

15 第二章 Cortex-M4F 内核和中断 在第二章中, 我们将简要的介绍 MSP432 的内核 Cortex-M4F, 以及中断系统 MSP432 的内核使用 32 位的 Cortex-M4F 内核 该内核具有 32 位的数据总线 32 位的寄存器组和 32 位的存储器接口 内核采用 Harvard 架构, 这意味着它拥有独立的指令总线和数据总线 这样一来, 对指令和数据的访问可以同时进行, 数据访问的过程不会影响或干扰指令的流水线, 因此可以提升处理器的性能 此特性使得整个 Cortex - M4F 内核中有多个总线和接口, 每个总线和接口均可同时使用, 以实现最佳的利用率 数据总线和指令总线共享同一存储空间, 此空间称为统一的存储系统 此外,MSP432 选择的 Cortex-M4F 内核, 该内核还包含一个可嵌套的中断向量控制器, 简称 NVIC 它还包含一个浮点单元 (FPU) 以及随 Cortex-M4 内核一起提供的增强型 DSP 指令集 14 第二章 Cortex-M4F 内核和中断

16 从调试器的角度来看, 内核中拥有一个标准化的 Cortex-M 调试器模块 一个 COI 调试模块以及 ITM 跟踪模块支持 由于这是一个 Cortex M 内核, 因此它还继承了大量来自 Cortex 和 ARM 产品的外设, 其中包括 udma SysTick 和中断管理器 15 第二章 Cortex-M4F 内核和中断

17 图片中为您概要的介绍了从 Cortex M0 到 Cortex M4 的不同 Cortex M 内核类型的差异 您可以看到, 我们选择的 Cortex-M4F 内核提高了内核的复杂性, 向指令集添加了更多指令, 并增加了其他特性, 如硬件乘法器 硬件除法器 饱和运算能力 DSP 指令扩展以及一个浮点单元 您可能还注意到, 从 Cortex M0 和 M0+ 到 M3 和 M4,ARM 架构从 Von Neumann 架构变为了 Harvard 架构, 这一过程使得内核在指令总线之外增加了一个数据总线 您可以注意到, 我们之所以为 MSP432 选择 Cortex -M4F 内核, 是因为 Cortex -M4F 在增加了更多性能和功能的同时仅增加了极少的功耗 这一点将在后续的介绍中进行说明, 届时将展示在 MSP432 上采用 Cortex M4F 的结果 16 第二章 Cortex-M4F 内核和中断

18 最后,MSP432 系列选择的 Cortex M4F 内核中的另一增项是浮点单元 Cortex M4F 内核的 FPU 完全支持浮点的加法 减法 乘法 除法和平方根等运算 需要注意的一点是, 大多数编译器中已自动启用对 FPU 的支持, 因此无需任何操作即可在用 MSP432 进行开发的过程中使用浮点运算 17 第二章 Cortex-M4F 内核和中断

19 由于 MSP432 采用的是标准化的内核, 因此最好以标准化的方式测试其性能 CoreMark 是一种用来测量处理器内核性能的标准化基准测试 CoreMark 由嵌入式微处理器基准协会 EMBBC 所开发, 旨在帮助系统设计人员选择最佳处理器并了解系统的性能和能耗特征 MSP432 基本上获得了 Cortex-M4F 平台上可能达到的最高分数 我们认为这是最高分数, 因为我们知道这是一个理想的 Cortex-M4F 内核方案才可能达到的分数 ARM 网站的 Cortex-M4F 页面上也显示了与此类似的信息 18 第二章 Cortex-M4F 内核和中断

20 除了 CoreMark,EMMBC 还开发了另一种基准测试, 用于测量微控制器的超低功耗特性 ULP Bench 包含一组测试, 通过执行适用于 ULP 应用的预定义任务来测量器件的功耗 ULP Bench 发布于 2014 年底, 此后成为了业内对通用微控制器进行低功耗基准测试的标准方法 这项基准测试的测量方法如下 : 每秒钟唤醒一次微控制器来执行预定义的工作, 一旦工作完成, 微控制器需要返回睡眠状态, 一秒后再唤醒 在这一秒期间会测量整个系统完成任务所消耗的总功耗 其结果是, 能耗越低, 微控制器甚至整个系统就越优秀 为了使分数对读者更直观一些, 该分数实际上是用一千除以测得的总能耗 ( 微焦耳 ) 的结果 您可以看到, 对于 MSP 器件而言, 这一结果确实令人印象深刻 从图中可以看出, MSP432 达到了全球迄今为止最高的 ULPBench 分数 分, 这一成绩优于竞争产品, 包括 Cortex -M4 Cortex -M3, 一直往下包括 Cortex-M0+ 内核的产品, 以及其他专有架构产品 这一结果表明, 高性能并不总是意味着高功耗, 在应用得当的情况下, 诸如 Cortex-M4F 的更高性能内核仍然可以比其他简化内核拥有更低的功耗 第二部分关于内核和中断的简要介绍到此结束 19 第二章 Cortex-M4F 内核和中断

21 第三章电源系统 从整体功能来说,MSP432 的电源系统使用一个非常宽泛的工作电压, 您可以在从 1.62V 一直到 3.7V 的电压范围下使用该器件 系统内可以选择的内核工作电压有两个, 可以通过所需的功率来进行选择, 以便实现最佳的功耗和性能的平衡 例如, 如果应用需要在 1-24MHz 之间运行系统, 可选择使用 1.2V 内核电压 ; 如需要在 1-48Mhz 之间运行系统的话, 为了提升效率, 可使用 1.4V 内核电压 要产生内部的内核电压, 可使用内部电压稳压器 与 MSP430 系列产品保持一致, 我们使用超低功耗的稳压器 这是一种可以调节电压的稳压器 另外, 除了 LDO, 我们还将提供 DC/DC 稳压器 这种额外提供的稳压器在高频和高负载的工作条件下非常出色 20 第三章电源系统

22 与 MSP430 系列相比, 电源电压监控器和受监控模块也进行了优化 我们增加了低功耗性能模式, 从而在 LPM3 LMP4 和 ** 5 模式下展现出极低的功耗 最后需要注意的一点, 这也可能是最重要的特性 : 所有的电源转换 配置和操作均可调用驱动程序库 API 来完成 21 第三章电源系统

23 我们在前面讲过,MSP432 具有从 1.62V 到 3.7V 的宽工作电压范围 但是, 启动电压要 求为 1.65V; 在 1.71V 时, 闪存访问和电源电压监控均可使用 DC/DC 稳压器必须在 2V 以上的电压时才能工作 LDO 则可以在低至 1.62V 的电压下开始工作 22 第三章电源系统

24 芯片内部提供两种内部电压稳压器 :LDO 和 DC/DC 稳压器 默认情况下, 在启动时始终选择 LDO 稳压器 这是最通用的稳压器, 因为它的工作电压可以从 1.62V 一直到 3.7V LDO 可用于所有的低功耗模式和正常活跃模式中 它还非常灵活并可扩展, 能够根据所使用的低功耗模式产生不同的输出负载 LDO 还支持快速开关 切换操作, 当您的应用需要在活跃模式与低功耗模式之间频繁切换时, 这种特性会带来极大的便利 另一方面,DC/DC 稳压器作为辅助稳压器, 它还需要外接一个电感器, 因此需要在系统中考虑额外成本 它的工作电压范围比较小, 从 2V 开始一直到 3.7V DC/DC 稳压器只能用于 LPM0 模式以及活跃工作模式 因此, 虽然在工作电压范围方面存在不足, 但其优点在于效率, 极其适合高速和高负载的应用工作 DC/DC 稳压器还需要较长时间从 LDO 切换开启和关闭 如果 Vcc 降至 2V 以下,DC/DC 稳压器将自动开启失效防护及切换模式, 并返回到 LDO 供电 而一旦 Vcc 再次升至 2.0V 以上, 此时将自动切回 DC/DC 稳压器供电 23 第三章电源系统

25 现在, 让我们快速的了解一下 MSP432 各种灵活的功耗模式 MSP432 系列引入了您可能在 MSP430 上看到的类似功耗模式, 其中包括工作模式 LPM0 LPM1 LPM3.5 和 LMP4.5 等模式 但此系列还新引入了两个低功耗模式 首先, 让我们从工作模式开始 在工作模式下, 可以根据所需的功耗和性能, 选择不同的内核电压 当系统需要在 0-24MHz 之间运行时, 可使用 Vcore 0 内核电压 此时可使用任意稳压器 :LDO 或 DC/DC 稳压器 为了在较高得工作频率下实现高性能, 例如系统运行在 24-48MHz 之间时, 强烈建议此情况下采用 DC/DC 稳压器 使用 LDO 时, 电流消耗约为 166uAh, 而在工作模式下的电流消耗约为 100mAh MSP432 引入了两种新模式, 这两种新模式均为低频模式 低频模式属于特殊模式, 这种模式保持系统中的所有部分都处于工作状态, 这可能包括 CPU 但是, 所有时钟必须小于或等于 120KHz 这样一来, 整个系统的电流消耗为 70uAh 或更少 24 第三章电源系统

26 LPM0 模式与 MSP430 的 LPM0 模式很相似 ; 在此模式下, 除 CPU 和主时钟外的所有外设和时钟均处于工作状态 在此模式下, 电流消耗介于 uA/MHz 之间, 具体取决于所使用的稳压器 接下来的 LPM3 和 LPM4 也是您以前可能在 MSP430 上见过的低功耗模式 在这些模式下, 系统的所有部分都必须工作在至少 32KHz 的频率下 在该模式下,CPU 处于关闭状态,SRAM 数据保留,RTC 看门狗和 GPIO 口均处于工作模式 这些工作的部件均可作为可能的唤醒源, 可以唤醒器件, 并使器件进入工作模式 在 LPM3 模式下,MSP432 的功耗约为 850nA 最后但也最重要的是,LPM3.5 和 LMP4.5 同样类似于 MSP430 的相应模式 在这些模式中, 整个系统均被关闭 在 LPM3.5 模式下,SRAM 数据仍可保留, 但内核逻辑和所有其他部分均关闭, 必须且只能由 RTC 来管理低功耗模式持续的时间 在 LPM3.5 模式下, 可通过 RTC 中断, 或者通过其他端口的中断事件来唤醒器件 在 LPM3.5 模式下, 也可通过复位或 GPIO 口使器件恢复工作状态 25 第三章电源系统

27 有必要记住的一点是,MSP432 将 MSP 与 Cortex M 架构完美融合, 可以使用同这两种架构相同的睡眠和唤醒机制来唤醒器件或者进入睡眠状态 您可以使用多种方法来指示器件进入睡眠状态或唤醒 您可以使用 Cortex M 的 CMSIS 指令, 例如 _ 您也可以按照 MSP 固有的惯例, 例如 GoTo LPM0 或 GoTo LPM3 来进入各种低功耗模式 除了固有惯例, 我们还提供了一组驱动程序库的调用 API, 让您轻松进行模式切换 最后在本章讲解电源部分需要牢记的一点, 也希望这是您记住的最重要的一点 : 有一个名为 PCM_SetPowerSave 的非常强大的驱动程序 API, 这个简单的 API 可让您在器件内的所有可用功耗模式之间自如转换 通过它您可以更改功耗模式 更改 Vcore 选项以及在 DC/DC 稳压器和 LDO 之间切换 MSP432 培训系列的第三部分关于电源的讲解到此结束 26 第三章电源系统

28 未完待续 27 未完待续

29 重要声明 德州仪器 (TI) 及其下属子公司有权根据 JESD46 最新标准, 对所提供的产品和服务进行更正 修改 增强 改进或其它更改, 并有权根据 JESD48 最新标准中止提供任何产品和服务 客户在下订单前应获取最新的相关信息, 并验证这些信息是否完整且是最新的 所有产品的销售都遵循在订单确认时所提供的 TI 销售条款与条件 TI 保证其所销售的组件的性能符合产品销售时 TI 半导体产品销售条件与条款的适用规范 仅在 TI 保证的范围内, 且 TI 认为有必要时才会使用测试或其它质量控制技术 除非适用法律做出了硬性规定, 否则没有必要对每种组件的所有参数进行测试 TI 对应用帮助或客户产品设计不承担任何义务 客户应对其使用 TI 组件的产品和应用自行负责 为尽量减小与客户产品和应用相关的风险, 客户应提供充分的设计与操作安全措施 TI 不对任何 TI 专利权 版权 屏蔽作品权或其它与使用了 TI 组件或服务的组合设备 机器或流程相关的 TI 知识产权中授予的直接或隐含权限作出任何保证或解释 TI 所发布的与第三方产品或服务有关的信息, 不能构成从 TI 获得使用这些产品或服务的许可 授权 或认可 使用此类信息可能需要获得第三方的专利权或其它知识产权方面的许可, 或是 TI 的专利权或其它知识产权方面的许可 对于 TI 的产品手册或数据表中 TI 信息的重要部分, 仅在没有对内容进行任何篡改且带有相关授权 条件 限制和声明的情况下才允许进行复制 TI 对此类篡改过的文件不承担任何责任或义务 复制第三方的信息可能需要服从额外的限制条件 在转售 TI 组件或服务时, 如果对该组件或服务参数的陈述与 TI 标明的参数相比存在差异或虚假成分, 则会失去相关 TI 组件或服务的所有明示或暗示授权, 且这是不正当的 欺诈性商业行为 TI 对任何此类虚假陈述均不承担任何责任或义务 客户认可并同意, 尽管任何应用相关信息或支持仍可能由 TI 提供, 但他们将独力负责满足与其产品及在其应用中使用 TI 产品相关的所有法律 法规和安全相关要求 客户声明并同意, 他们具备制定与实施安全措施所需的全部专业技术和知识, 可预见故障的危险后果 监测故障及其后果 降低有可能造成人身伤害的故障的发生机率并采取适当的补救措施 客户将全额赔偿因在此类安全关键应用中使用任何 TI 组件而对 TI 及其代理造成的任何损失 在某些场合中, 为了推进安全相关应用有可能对 TI 组件进行特别的促销 TI 的目标是利用此类组件帮助客户设计和创立其特有的可满足适用的功能安全性标准和要求的终端产品解决方案 尽管如此, 此类组件仍然服从这些条款 TI 组件未获得用于 FDA Class III( 或类似的生命攸关医疗设备 ) 的授权许可, 除非各方授权官员已经达成了专门管控此类使用的特别协议 只有那些 TI 特别注明属于军用等级或 增强型塑料 的 TI 组件才是设计或专门用于军事 / 航空应用或环境的 购买者认可并同意, 对并非指定面向军事或航空航天用途的 TI 组件进行军事或航空航天方面的应用, 其风险由客户单独承担, 并且由客户独力负责满足与此类使用相关的所有法律和法规要求 TI 已明确指定符合 ISO/TS16949 要求的产品, 这些产品主要用于汽车 在任何情况下, 因使用非指定产品而无法达到 ISO/TS16949 要求,TI 不承担任何责任 产品 数字音频 通信与电信 放大器和线性器件 计算机及周边 数据转换器 消费电子 DLP 产品 能源 DSP - 数字信号处理器 工业应用 时钟和计时器 医疗电子 接口 安防应用 逻辑 汽车电子 电源管理 视频和影像 微控制器 (MCU) RFID 系统 OMAP 应用处理器 无线连通性 德州仪器在线技术支持社区 应用 IMPORTANT NOTICE Mailing Address: Texas Instruments, Post Office Box , Dallas, Texas Copyright 2015, Texas Instruments Incorporated

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

具备颜色管理功能的发光二极管驱动器:前景一片璀璨

具备颜色管理功能的发光二极管驱动器:前景一片璀璨 LP5520,LP5521,LP5522,LP55281???????????????????????? Literature Number: ZHCA408 POWER designer Expert tips, tricks, and techniques for powerful designs No. 119 Feature Article...1-7 RGB LED Driver Generates

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会

超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 超值型 STM32F100, 增强产品的竞争力 2010 年 STM32 全国研讨会 为什么要发布 STM32F100 超值型 客户更容易在成本敏感的应用上使用 STM32 一些应用案例 STM32 帮你解决平台问题 太阳能逆变 负载控制 集中器 面临的挑战 : 1. 合适的平台 2. 扩展性和移植性 3. 便于维护 4. 可靠 单相表 归功于 STM32 F100 高性价比, 在单相表开始使用 国网中标

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

STM32 in arm seminar

STM32 in arm seminar 增强的 STM32 家族, 助您扩展应用领域 超值型 STM32F100, 增强产品的竞争力超低功耗 STM32L, 提高能源的使高能源的使用效率 超值型 STM32F100, 增强产品的竞争力 ST 为客户提供新的 STM32 选择 新增 1MB 闪存超高密度的 STM32, 提高 STM32 家族的可扩展性 新增低成本 STM32 超值型, 将 STM32 的优越性能带向 8/16 位应用领域

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

在Keystone SoC上使用Pktlib加速LTE层2处理

在Keystone SoC上使用Pktlib加速LTE层2处理 Application Report ZHCA576 October 2013 在 Keystone SoC 上使用 Pktlib 加速 LTE 层 2 处理 Adam Yao Multi-core DSP / FAE 层 2(L2) 在长期演进计划 (LTE) 中由分组数据汇聚协议 (CP), 无线链路控制协议 (RLC) 和介质访问控制协议 (MAC) 组成 Pktlib 是 TI 公司提供的基于其最新的

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

HyperLink 编程和性能考量

HyperLink 编程和性能考量 应用手册 July, 2012 HyperLink 编程和性能考量 冯华亮 /Brighton Feng Communication Infrastructure 摘要 HyperLink 为两个 KeyStone 架构 DSP 之间提供了一种高速, 低延迟, 引脚数量少的通信接口 HyperLink 的用户手册已经详细的对其进行了描述 本文主要是为 HyperLink 的编程提供了一些额外的补充信息

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

MSP430FR4x/FR2x MCU培训手册

MSP430FR4x/FR2x MCU培训手册 2015 MSP430FR4x/FR2x MCU 培训手册 Jin, Erya TI 1/1/2015 目录 第一章 MSP430FR4x/FR2x MCU 概要... 1 第二章时钟和内核模块... 12 第三章关键外设... 22 第四章资源和工具... 42 目录 MSP430FR2x/4x MCU 培训手册 2015 第一章 MSP430FR4x/FR2x MCU 概要 本章概要地介绍了 TI

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

微控制器市场及应用 分析和预计

微控制器市场及应用 分析和预计 STM32 全面释放创造力, 服务今日, 开创未来 微控制器市场及应用 分析和预计 微控制器整体市场分析 3 微控制器在工业和医疗应用市场趋势 s) n ilio M $ S (U s e u n v e e R 7,000.0 6,000.0 5,000.0 4,000.0 3,000.0 2,000.0 1,000.0 Industrial & M edical revenues trend (source:

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

3A充电2.4A放电高集成度移动电源SOC

3A充电2.4A放电高集成度移动电源SOC 输出 2.4A/3.1A, 集成 DCP 输出协议的 SOC IC 1 特性 同步开关降压转换器 内置功率 MOS 输入电压范围 :8V 到 32V 输出电压 :5V 输出电流 :2.4A/3.1A 输出电压有线补功能,90mV/A 输出具有 CV/CC 特性 ( 输出电流小于设定值, 输出 CV 模式 ; 输出电流大于设定值, 输出 CC 模式 ) 转换效率最高达 94% 软启动功能 输出协议 支持

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

Moto Z

Moto Z Moto G Moto NFC 12:36 SIM microsd > / 0.5 SAR SAR SAR https://motorola.com/sar SAR > > www.motorola.com/rfhealth Moto / / www.motorola.com/device-legal /USB-C WLAN WLAN WLAN Moto Mods Nano SIM MicroSD

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

第十五号 上市公司变更募集资金投资项目公告

第十五号 上市公司变更募集资金投资项目公告 证 券 代 码 :600885 证 券 简 称 : 宏 发 股 份 公 告 编 号 : 临 2016-014 宏 发 科 技 股 份 有 限 公 司 关 于 变 更 部 分 募 集 资 金 投 资 项 目 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

武汉理工大学硕士学位论文基于嵌入式技术的数控加工远程视频监测研究姓名 : 周恒林申请学位级别 : 硕士专业 : 通信与信息系统指导教师 : 周祖德 20070401 基于嵌入式技术的数控加工远程视频监测研究 作者 : 周恒林 学位授予单位 : 武汉理工大学

More information

不对称相互依存与合作型施压 # # ( # ( %

不对称相互依存与合作型施压 # # ( # ( % 世界政治 年第 期 不对称相互依存与 合作型施压 美国对华战略的策略调整 王 帆! 尽管中美两国间的相互依存度在加深 但保持不对称的相互依存 关系仍是美国对华政策的重点 在不对称相互依存的前提下 美国对中国开始实施了 一种新的合作型施压策略 该策略成为美国对华战略的手段之一 作者分析了在相互 依存条件下美国对华实施合作型施压政策的背景 目的 相关议题和实施手段 并以谷歌事件 哥本哈根气候谈判以及人民币汇率问题这三个案例

More information

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.77 3.45 不适用 941 HK Equity 82.85 73.40 902 HK Equity

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

sl sl

sl sl SL SL 21 2006 SL 21 90 2006-09-09 2006-10-01 1 2004 9 sl21-90 90 90 90 90 20 90 4 6 3 sl21-90 2 3 1 1 2 2 2.1... 2 2.2... 2 2.3... 3 2.4...3 3 5 3.1... 5 3.2... 5 4 7 4.1... 7 4.2... 7 4.3... 7 4.4...

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Input Methods for Linux (Desktop and Mobile)

Input Methods for Linux (Desktop and Mobile) STM32 簡介 Jim Huang ( 黃敬群 ) Developer, 0xlab July 10, 2012 Rights to Copy Copyright 2012 0xlab http://0xlab.org/ contact@0xlab.org Latest update: July 5, 2012 Attribution ShareAlike 3.0

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

untitled

untitled 1 2 3 4 5 6 / / 7 8 9 10 11 ES Elevator Shuttle EC Elevator Cross carriage 12 13 14 ES Elevator Shuttle EC Elevator Cross carriage 15 16 17 2. 55X16 600 19 80.000m³ 31 42.160 m³ 36 17 19 Istanbul / Sisli,

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

吉林省科技发展计划项目申报书24284

吉林省科技发展计划项目申报书24284 计 划 类 别 : 项 目 类 别 : 支 持 领 域 : 支 持 重 点 : 管 理 处 室 : 科 技 攻 关 计 划 重 点 科 技 攻 关 项 目 工 业 高 新 技 术 领 域 新 一 代 信 息 技 术 及 应 用 高 新 处 项 目 名 称 : 申 报 主 持 单 位 ( 盖 章 ): 项 目 负 责 人 : 通 讯 地 址 : 视 觉 大 数 据 应 用 中 的 前 端 特 征 识

More information

模量 100, 通用型 气相法硅橡胶 ( 续 ) RBB-70 系列 RBB-2100 系列 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 R

模量 100, 通用型 气相法硅橡胶 ( 续 ) RBB-70 系列 RBB-2100 系列 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 RBB 硅橡胶 R 模量 100, 品牌高温硫化硅橡胶 (HCR) 基胶 - 亚洲 ( 日本除外 ) 选择指南 高温硫化硅橡胶 (HCR) 基胶 通用型 气相法硅橡胶 RBB-00/ RBB-01 系列 RBB-02 系列 RBB-03 系列 RBB-04 系列 RBB-00-35 硅橡胶 RBB-01-65 硅橡胶 RBB-02-30 硅橡胶 RBB-02-45 硅橡胶 RBB-02-50 硅橡胶 RBB-02-70

More information

Microsoft Word - 简本--Only this one for distribution.docx

Microsoft Word - 简本--Only this one for distribution.docx 1... 1 1.1... 1 1.2... 2 1.2.1 使用人群... 2 1.2.2 主要用途... 2 1.2.3 车辆性能... 2 1.2.4 道路设施与条件... 3 1.3... 3 1.4... 3 2... 4 2.1... 4 2.2... 5 2.2.1 国家层面的法规与政策... 5 2.2.2 地方层面的管理规定与办法... 5 2.3... 5 3... 7 3.1...

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

互动演示 诺基亚在线客户服务 软件 诺基亚客户服务网络支持可为您提供有关诺基亚在线服务的更多信息 互动演示通过该演示可了解应如何对手机进行设置, 并可掌握手机的更多功能 互动演示提供了有关手机使用方法的分步说明 用户手册在线用户手册包含有关手机的详细信息 切记应定期查看内容更新 用户手册 软件利用手

互动演示 诺基亚在线客户服务 软件 诺基亚客户服务网络支持可为您提供有关诺基亚在线服务的更多信息 互动演示通过该演示可了解应如何对手机进行设置, 并可掌握手机的更多功能 互动演示提供了有关手机使用方法的分步说明 用户手册在线用户手册包含有关手机的详细信息 切记应定期查看内容更新 用户手册 软件利用手 互动演示 诺基亚在线客户服务 软件 诺基亚客户服务网络支持可为您提供有关诺基亚在线服务的更多信息 互动演示通过该演示可了解应如何对手机进行设置, 并可掌握手机的更多功能 互动演示提供了有关手机使用方法的分步说明 用户手册在线用户手册包含有关手机的详细信息 切记应定期查看内容更新 用户手册 软件利用手机和 PC 软件, 让您的手机发挥更大作用 诺基亚 PC 套件可连接您的手机和 PC, 方便您管理日历

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

STM32™ 推动创新,见证未来

STM32™ 推动创新,见证未来 STM32 推动创新, 见证未来 2012 STM32 全国巡回研讨会 2 创新 Presentation Title 登陆火星 3 推动创新, 见证未来 Presentation Title STM32 参加伦敦奥运会 4 主控制器 : STM32L - 高处理能力 - 实时响应 - 高集成度 推动创新, 见证未来 Presentation Title 物联网, 正在发生 5 网络 + 服务 Presentation

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

! %! &!! % &

! %! &!! % & 张海峰 姚先国 张俊森 借鉴 的有效教育概念 本文利用 年间的中国省级面板数据估计了平均教育年限 教育数量 和平均师生比率 教育质量 对地区 劳动生产率的影响 本文的实证分析结果表明 以师生比率衡量的教育质量对劳动生产 率有显著且稳健的正效应 在均值处师生比率每上升一个标准差 有助于提高地区劳动生产率约 教育数量对劳动生产率的影响大小部分取决于教育质量的高低 教育质量越高教育数量对劳动生产率的促进效应越大

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 SR-650 SR-652 SR-650H/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 305 mm SR-652 305 mm 305 mm SR-650H/650/651 SR-652 2 可联网 可通过以太网进行联网 也支持 EtherNet/IP 和 PoE 通过网络连接可远程集中管理多个读取器 联网还为您提供了另一大优势 即可实时查看已扫描的图像 同时

More information

IQ

IQ TRITON APX IQ TRITON APX TRITON APX TRITON TRITON APX TRITON AP-WEB Websense ACE Web DLP TRITON APX IT TRITON APX Web TRITON APX DLP TRITON APX DLP Web (DLP) TRITON AP-WEB TRITON AP-EMAIL DLP (OCR) TRITON

More information

USB 2.0 板载设计及布线指南 (Rev. A)

USB 2.0 板载设计及布线指南 (Rev. A) 应用报告 ZHCA059A December 2007 Revised January 2013 DSPS Applications 摘要 本应用报告探讨了通用串行总线 (USB) 系统电路原理图设计的若干指导原则 内容 1 背景... 1 2 USB 物理层 (PHY) 布线指南... 1 3 静电放电 (ESD)... 7 4 参考书目... 9 图片列表 1 推荐的大大减少 EMI 的阵列电容器和铁氧体磁珠...

More information

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能

高 端 技 能 型 专 门 人 才 懂 生 产 能 开 发 善 教 学 的 双 师 型 团 队 项 目 开 发 驱 动 校 外 生 产 性 实 训 基 地 驱 动 产 品 研 发 生 产 管 理 技 术 应 用 学 生 科 技 创 新 设 计 中 心 开 发 中 心 技 术 服 务 操 作 技 能 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 专 业 代 码 :590215 一 专 业 名 称 智 能 产 品 开 发 专 业 ( 移 动 智 能 终 端 开 发 方 向 ) 二 教 育 类 型 及 学 历 层 次 高 等 职 业 教 育, 大 专 层 次 三 入 学 条 件 高 中 毕 业 或 同 等 学 历 者 四 学 制 基 本 学 制 三 年, 最 长 五

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告 自动化专业教学团队.doc

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告  自动化专业教学团队.doc 附 件 2: 项 目 类 别 : 教 学 团 队 安 徽 省 高 等 学 校 质 量 工 程 项 目 进 展 报 告 项 目 名 称 : 自 动 化 专 业 教 学 团 队 项 目 负 责 人 : 陈 其 工 凌 有 铸 联 系 方 式 : 0553-2871262 所 在 单 位 : 安 徽 工 程 大 学 立 项 时 间 : 2010 年 11 月 9 日 填 表 时 间 : 2012 年 8

More information