WH-G405tf-硬件设计手册-V1.0.2

Size: px
Start display at page:

Download "WH-G405tf-硬件设计手册-V1.0.2"

Transcription

1 WH-G405tf 硬件设计手册 文件版本 :V1.0.2

2 目录 目录 绪论 相关文档 安全警告 产品外观 参考文档列表 产品简介 产品特点 基本参数 模块框图 外形尺寸 模块尺寸 推荐封装 引脚定义 硬件参考设计 电源接口 USB 接口 串口电平 SIM 卡接口 工作状态指示 RF 天线 PCM 语音功能 电气特性 工作储存温度 输入电源 模块 IO 口电平 联系方式 免责声明 更新历史 上海稳恒电子科技有限公司 2 / 23

3 1 绪论 1.1 相关文档 本文档描述了 WH-G405tf 的硬件应用接口, 包括相关应用场合的电路连接以及射频接口等 WH-G405tf 的应用十分广泛, 本文档将详细介绍 WH-G405tf 的所有功能 本文档可以帮助用户快速的了解 WH-G405tf 的接口定义 电气性能和结构尺寸的详细信息 结合本文档和其他的 WH-G405tf 的应用文档, 用户可以快速的使用 WH-G405tf 来设计移动通讯应用方案 1.2 安全警告 在使用或者维修任何包含 WH-G405tf 模块的终端或者手机的过程中要留心以下的安全防范 终端设备上应当告知用户以下的安全信息 否则上海稳恒将不承担任何因用户没有按这些警告操作而产生的后果 当在医院或者医疗设备旁, 观察使用手机的限制 如果需要请关闭终端或者手机, 否则医疗设备可能会因为射频的干扰而导致误操作 登机前关闭无线终端或者手机 为防止对通信系统的干扰, 飞机上禁止使用无线通信设备 忽略以上事项将违反当地法律并有可能导致飞行事故 不要在易燃气体前使用移动终端或者手机 当靠近爆炸作业 化学工厂 燃料库或者加油站时要关掉手机终端 在任何潜在爆炸可能的电器设备旁操作移动终端都是很危险的 手机终端在开机的状态时会接收或者发射射频能量 当靠近电视 收音机 电脑或者其它电器设备时会对其产生干扰 道路安全第一! 在驾驶交通工具时不要用手持终端或手机, 请使用免提装置 在使用手持终端或手机前应先停车 GSM 手机终端在射频信号和蜂窝网下操作, 但不能保证在所用的情况下都能连接 例如, 没有话费或者无效的 SIM 卡 当处于这种情况而需要紧急服务, 记得使用紧急电话 为了能够呼叫和接收电话, 手机终端必须开机而且要在移动信号足够强的服务区域 当一些确定的网络服务或者电话功能在使用时不允许使用紧急电话, 例如功能锁定, 键盘锁定 在使用紧急电话前, 要解除这些功能 一些网络需要有效的 SIM 卡支持 上海稳恒电子科技有限公司 3 / 23

4 1.3. 产品外观 WH-G405tf 硬件设计手册 Wireless High-reliability 1.4. 参考文档列表 除此硬件开发文档外, 我们同时提供了基于本产品的说明书 封装库等资料, 方便用户设计参考 表 1: 文档清单 文档标号文档名称 1 WH-G405tf 说明书 2 WH-G405tf-AT 指令集 3 WH-G405tf-LCC 硬件设计手册 4 WH-G405tf-mPCIe 封装库 (AD) 2 产品简介 WH-G405tf 是一款适用于移动 4G 网络的无线终端产品, 支持所有移动网络的高速接入, 能够为用户提供高速的无线数据 互联网接入等业务, 具备语音 分组数据 短信功能 通讯簿, 可以快速轻松帮助你实现嵌入式模块 移动电话 智能手机 多媒体手机和触摸屏通信装置 调制解调器 U 猫 车载设备等终端 采用先进的高度集成设计方案, 完成无线接收 发射 基带信号处理和音频信号处理功能, 采用单面布局 上海稳恒电子科技有限公司 4 / 23

5 支持 AT 命令扩展, 可以实现用户个性化定制方案 2.1 产品特点 支持移动 2G/3G/4G 支持联通 2G/3G/4G 支持电信 4G 支持 AT 指令集 支持 SMS 功能 支持数据传输功能 支持 PCM 语音 支持 USB 通讯 支持 Windows Linux Android 平台 2.2 基本参数 表 2:WH-G405tf 模块主要特性 项目 产品规格 描述 产品名称 WH G405tf-LCC 封装形式 支持移动 2G/3G/4G 支持联通 2G/3G/4G 支持电信 4G LCC 80Pin LCC 80pin 电源范围 3.4V~4.2V, 推荐值 3.8V LED 模块状态指示灯功能 SIM/USIM 卡 USB 协议 PCM 语音 标准 6 针 SIM 卡接口,3V/1.8V SIM 卡 USB 2.0 High speed 支持 PCM 语音接口 ( 需要加 Codec) 硬件接口 RF 天线接口 *2( 只用一根时, 请用标注为 M 的天线 ) 尺寸 ( 毫米 ) 32mm 29mm 2.4mm(LCC+BGA) 外形尺寸 重量 ( 克 ) < 4.2g 工作温度 -20 ~ +70 温度范围 存储温度 -40 ~ +85 湿度范围 工作湿度 5%~95% TD-LTE 3GPP R9 CAT4 下行 150 Mbps, 上行 50 Mbps FDD-LTE 3GPP R9 CAT4 下行 150 Mbps, 上行 50 Mbps 技术规范 WCDMA HSPA+ 下行速率 21 Mbps 上行速率 5.76 Mbps 上海稳恒电子科技有限公司 5 / 23

6 TD-SCDMA 3GPP R9 下行速率 2.8 Mbps 上行速率 2.2 Mbps GSM 下行速率 384 kbps 上行速率 128 kbps TD-LTE Band 38/39/40/41 FDD-LTE Band 1/3/8 WCDMA Band 1/8 频段 TD-SCDMA Band34/39 GSM Band 3/8 TD-LTE Band 38/39/40/41 +23dBm(Power class 3) FDD-LTE Band 1/3/8 +23dBm(Power class 3) WCDMA Band 1/8 +23dBm(Power class 3 TD-SCDMA Band34/39 +24dBm(Power class 2) GSM Band 8 +33dBm(Power class 4) 功率等级 软件功能 GSM Band 3 +30dBm(Power class 1) 数据业务 支持 PPPD/RNDIS/ECM 拨号 语音电话 支持硬 PCM 语音 短信 支持 PDU/TEXT 短信 TCP/IP 协议 IPv4,IPv6,IPv4/IPv6 双堆栈 操作系统 支持 windows/linux/android 2.3 模块框图 目前模块开放的接口包括 : 电源输入 模块工作状态指示 USB2.0 SIM 射频天线 PCM 上海稳恒电子科技有限公司 6 / 23

7 图 1: 模块功能框图 2.4 外形尺寸 模块尺寸 图 2: 模块尺寸图 上海稳恒电子科技有限公司 7 / 23

8 2.4.2 推荐封装 图 3: 模块 PCB 封装图 注意 : 如果只用到 LCC 部分功能管脚, 可以只焊接 LCC, 降低生产工艺要求,BGA 部分焊盘的长度可根据需要调整,101 和 106 焊盘不需要做 另 : 为防止更换模块时损坏接口板的焊盘, 需要在接口板的焊盘上打 2~3 个过孔加固 上海稳恒电子科技有限公司 8 / 23

9 2.5 引脚定义 WH-G405tf 硬件设计手册 Wireless High-reliability WH-G405tf 模块提供 LCC/BGA 混合连接方式, 其中 pin1-80 是 LCC 封装, 定义了常用功能引脚 ; pin 是 BGA 封装 图 4: 模块引脚图 Power Pins GND Pins Signal Pins RESERVED Pins 引脚定义详情请见表 3: 上海稳恒电子科技有限公司 9 / 23

10 表 3:WH-G405tf 模块引脚说明 405 脚位号 G405 脚位名称 功能说明 1 WAKEUP_IN 睡眠模式控制 ( 暂不开放 ) 2 AP_READY 睡眠状态检测 ( 暂不开放 ) 3 GPIO123 预留 GPIO 4 W_DISABLE 飞行模式控制, 内部拉高 ( 暂不开放 ) 5 NET_MODE 模块的网络注册状态, 高电平为注册 LTE 网络状态 6 NET_STATUS 模块的网络运行状态慢闪 (200ms 高 /1800ms 低 ) 找网状态慢闪 (1800ms 高 /200ms 低 ) 待机状态 快闪 (125ms 高 /125ms 低 ) 数据传输模式 高电平 通话中 7 VDD_1V8 1.8V 电源 8 PWM0 PWM 输出口 0( 暂不开放 ) 9 PWM1 PWM 输出口 1( 暂不开放 ) 10 GND 地 11 GND 地 12 GND 地 13 URAT1_RXD URAT1 串口,LOG 输入 14 URAT1_TXD URAT1 串口,LOG 输出 15 DBB_SIM_DET SIM 卡检测 ( 暂不开放 ) 16 USIM_VDD SIM 电压 17 USIM_DATA SIM 数据 18 USIM_CLK SIM 时钟 19 USIM_RST SIM 复位 20 NC NC 21 GPIO48 预留 GPIO 22 BOOT_MODE0 BOOT 选择, 内部拉低, 高有效 ( 暂不开放 ) 23 GND 地 24 RESET_N 模块复位脚, 内部上拉, 拉低模块复位 25 PWRKEY 模块开机, 低电平开机 26 GND 地 27 GPIO51 预留 GPIO 28 I2S0_DIN I2S0 数据输入 29 I2S0_DOUT I2S0 数据输出 30 I2S0_WS I2S0 同步信号 31 I2S0_CLK I2S0 时钟 32 NC NC 33 NC NC 上海稳恒电子科技有限公司 10 / 23

11 34 NC NC 35 NC NC 36 NC NC 37 NC NC 38 GND/NC GND/NC 39 ANT_DIV 分集接收天线接口 40 GND 地 41 SPI_CS SPI 使能信号 ( 暂不开放 ) 42 SPI_MOSI SPI MOSI 口 ( 暂不开放 ) 43 SPI_MISO SPI MISO 口 ( 暂不开放 ) 44 SPI_CLK SPI 时钟口 ( 暂不开放 ) 45 I2C_SCL1 I2C1 时钟 46 I2C_SDA1 I2C1 数据 47 LCD_RST 预留 GPIO 口 ( 暂不开放 ) 48 ADC2 ADC 检测 2 49 ADC1 ADC 检测 1 50 GND 地 51 ANT_GNSS 预留 / 悬空 52 NC NC 53 NC NC 54 GND 地 55 ANT_MAIN 主 RF 口 56 GND 地 57 GND 地 58 GND 地 59 GND 地 60 GND 地 61 NC NC 62 GND 地 63 VDD_PA PA 电源 ( V) 64 VDD_PA PA 电源 ( V) 65 VBAT VBAT( V) 66 VBAT VBAT( V) 67 STATUS 模块工作状态, 需外部上拉 10K 电阻, 开机后输出低电平 68 NC NC 69 NC NC 70 NC NC 71 NC NC 72 AP2CP_WAKEUP 睡眠模式控制 ( 暂不开放 ) 73 TXD0 UART0 串口, 模块通信数据发送 上海稳恒电子科技有限公司 11 / 23

12 74 RXD0 UART0 串口, 模块通信数据接收 75 USB_DP USB 差分数据正信号 76 USB_DM USB 差分数据负信号 77 USB_VBUS USB 电源,USB 检测使用 ( 暂不开放 ) 78 GND 地 79 RXD2 UART2 数据输入 80 TXD2 UART2 数据输出 81 GND 地 82 GND 地 83 GND 地 84 GND 地 85 GND 地 86 GND 地 87 GND 地 88 GND 地 89 GND 地 90 GND 地 91 GND 地 92 GND 地 93 GND 地 94 GND 地 95 GND 地 96 GND 地 97 GND 地 98 GND 地 99 GND 地 100 GND 地 101 NC NC 102 GND 地 103 GND 地 104 GND 地 105 GND 地 106 NC NC 107 GND 地 108 GND 地 注 :WH-G405TF 模块的 IO 电平为 1.8V 上海稳恒电子科技有限公司 12 / 23

13 3. 硬件参考设计 WH-G405tf 硬件设计手册 Wireless High-reliability 3.1 电源接口 电压典型值 3.8V, 供电范围 V, 峰值供电电流 2.5A, 要保证靠近模块电源引脚并联数个百 μf 的储能电容以满足模块大电流脉冲需求, 推荐组合 470μF+220μF 同时预留一组 μf 级电容, 做高频滤波使用, 推荐 22uF+0.1uF+1nF+100pF 如果应用环境比较恶劣, 经常受到 ESD 干扰或者对 EMC 要求比较高, 建议串联磁珠和或者并联 TVS 管, 以增加模块的稳定性用户在设计产品时, 首先保证外部用户在设计本产品外围电路能够提供充足的供电能力, 并且供电范围要严格控制在 3.4V~4.2V, 供电电压波动 300mv, 供电电压的跌落最小值保证大于 3V 建议采取 3.8V 供电, 并在 DC/DC 或者 LDO 后放置大电容, 防止外部电源在脉冲电流时间段内出现电压跌落 系统板侧电源线应满足 2.5A 电流需要, 走线长度尽量缩短并要与地面形成良好的回流 表 4: 模块电源特性 Symbol Parameter Min Type Max Unit VDD_MAIN Power supply voltage V Io Supply current capability ma 推荐原理图如下 : 图 5: 模块电源参考电路 考虑到不同的应用环境, 为了避免模块在复杂环境 ( 干扰 ) 下出现工作异常, 请用户增加电源控制 电路, 用于重启模块恢复异常 请参考下面的电路 : 上海稳恒电子科技有限公司 13 / 23

14 图 6: 模块电源控制参考电路 1) 此图为 3V3 电平信号控制模块 3V8 电源通断电路,NPN 三极管 s9014 控制 PMOS 管 ) 上图电流方向是由左到右, 即 VDD_3V8 是输入电压,VCC_MOD 是直接供给模块电压, Power_ON/OFF 是开关信号, 高电平导通, 低电平关闭 3) 当 Power_ON/OFF 信号为高电平时,NPN 管 9014 导通,MOS 管 2301 源级电压大于栅 极电压即 V12<0,MOS 导通, 当 Power_ON/OFF 信号为低电平时,NPN 管 9014 截止, MOS 管 2301 源级电压等于栅极电压即 V12=0,MOS 截止, 模块电源被切断 4) 要根据实际选择的 MOS 管型号来调节 R114 和 R112 的阻值, 保证 MOS 可以工作在饱和状态, 5) R116 上拉电阻是保证在 Power_ON/OFF 信号失效时,MOS 默认是打开状态, 模块可以正常通电 R501 是 0 欧姆备选方案, 在不想用 MOS 控制或者器件有损坏时焊接上, 保证模块上电, 默认不焊接 3.2 USB 接口 模块提供 1 个标准 USB2.0 接口, 支持 High speed(480mbps) 和 Full speed(12mbps) 两种电路, 支持 suspend 和 resume, 可以工作在 HOST 模式和 DEVICE 模式, 该 USB 接口和驱动配合, 可以在 PC 上映射多个串口 设计推荐电路如图 4-2 所示,USB 接口操作电源 USB_VCC 典型电压 : 5V ( 允许范围 : 4.75~5.25V) 根据应用产品的要求不同, 一般需要考虑 ESD EMI 的要求, 设计建议 : 1) 建议 USB 数据通路上串共模抑制滤波器或 0 欧电阻, 以方便后续调试 2) 作为操作接口或调试接口使用时,USB 信号线上必须考虑 ESD 接口防护,ESD 保护器件的节电容不大于 3PF TVS 推荐 SEMTECH 的 RClamp0521P.TCT 或 INFINEO 的 ESD0P2RF-02LRHE6327, 也可以按照参数同规格的其余器件 上海稳恒电子科技有限公司 14 / 23

15 3) USB_DP 和 USB_DM 严格按照差分形式走线, 两根线的长度差尽量短, 差分阻抗需控制在 90ohm 4) USB_DP 和 USB_DM 需严格包地保护 表 5: USB 引脚说明 PIN Symbol Description Type voltage 76 USB_DM USB- 5V 75 USB_DP USB+ 5V 图 7: USB 参考电路 3.3 串口电平 因为模块采用 1.8V 的 IO 电源系统, 所有 IO 口的最高输入限制电压最大不能超过 1.8V, 否则可能损坏模块 IO 口 WH-G405TF 模块共有 3 路串口, 主串口 UART0, 调试串口 UART1 及备用串口 UART2 表 6: 串口说明 PIN Symbol Description Type(V) 73 TXD0 UART0 串口, 模块通信数据发送 1.8V 74 RXD0 UART0 串口, 模块通信数据接收 1.8V 13 URAT1_RXD URAT1 串口,LOG 输入 1.8V 14 URAT1_TXD URAT1 串口,LOG 输出 1.8V 79 RXD2 UART2 数据输入 1.8V 80 TXD2 UART2 数据输出 1.8V 三路串口的电平只支持 1.8V 电平输入输出, 若与模块通信串口电平是其他电平 例如 3V 5V, 必须 上海稳恒电子科技有限公司 15 / 23

16 要做电平转换才能与 WH-G405TF 模块实现通信, 电平转换电路参考如下 : 图 8: 模块 TXD 电平转换电路 VDD_EXT 10K VDD 47K 4.7K UART1_TXD 模块 RXD 客户端 图 9: 模块 RXD 电平转换电路 VDD_EXT 10K VDD 47K 4.7K UART1_RXD 模块 TXD 客户端 图中 VDD-EXT 为模块 1.8V 电源输出,VDD 是客户端 CMU 电平电压, 例如客端 CMU 串口为 3V, 此时 VDD 就是 3V 电源输出 注意 :WH-G405TF 模块的所有 IO 口电平 ( 除 USB 接口 ) 都是 1.8V 3.4 SIM 卡接口 模块提供了符合 ISO 标准的 SIM 卡接口, 自动识别 3.0 V 和 1.8V SIM 卡 在标准模式下, 向 USIM 卡提供 3.25 MHz 的时钟信号 ; 在低功耗模式下, 向 USIM 卡提供 1.08 MHz 的时钟信号 ; 支 持时钟关断模式 ; 通过调整波特率参数, 支持速度增强型 USIM 卡 ; 支持 DMA 发送 / 接收 ; 支持注销 模式下的自动省电模式 ; 在 RX 模式下, 支持自动奇偶校验 由于用户会经常进行插入或拔出 USIM 卡的操作, 而人体带有静电, 为了防止静电对 USIM 卡及 芯片造成损坏, 须要增加 TVS 管进行静电保护, 作为 ESD 防静电措施 选用额定反向工作电压 Vrwm=5 V, 结电容为 Cj< 10 pf 以下的器件 防静电器件的接地须和模块系统地良好连接 设计建议 : 1) 建议对 USIM_DATA 用 USIM_VDD 电源 10K 上拉处理, 保证 USIM_DATA 在三态时有一个稳定的高电平, 以提高驱动能力, 改善其波形的边沿特性 2) 为了满足 3GPP TS 协议以及 EMC 认证要求, 建议 SIM 卡座布置在靠近模块 上海稳恒电子科技有限公司 16 / 23

17 SIM 卡接口的位置, 避免因走线过长, 导致波形严重变形, 影响信号完整性 3) USIM_CLK 和 USIM_DATA 信号的走线最好进行包地处理 4) 在 USIM_VDD 和 GND 之间并联一个 0.1uF 及 33pF 左右的电容, USIM_CLK, USIM_RST 与 GND 之间并联 33pF 左右的电容, 滤除射频信号的干扰. 5) ESD 保护器件尽量靠近 SIM 卡槽放置 表 7:SIM 引脚说明 PIN Symbol Description Type(V) 15 DBB_SIM_DET SIM 卡热拔插检测 ( 暂不开放 ) 1.8V 16 USIM_VDD Power output for SIM card 1.8/ USIM_DATA SIM Card data I/O 1.8/ USIM_CLK SIM clock 1.8/ USIM_RST SIM Reset 1.8/3.0 图 10 :SIM 参考电路 3.5 工作状态指示 模块提供 LED 输出控制, 通过 LED 状态显示模块工作状态, 建议通过三极管来驱动指示灯, 灯的正极最好接稳定的电压. 表 8: LED 引脚说明 PIN Symbol Description Type(V) 5 NET_MODE Network Status Indication output 1.8V 上海稳恒电子科技有限公司 17 / 23

18 图 11: 网络灯参考电路 3.6 RF 天线 WH-G405tf 模块提供了两个天线接口, 主天线和分集天线, 一个是主天线接口, 一个是分集接收天线 ( 分集可选 ) 接口, 客户如果使用 LTE 数据业务, 须连接主天线和分集天线, 以保证数据性能最优 客户在 PCB 布局时,RF 部分要优先处理, 天线附近避开高频大电流等信号, 布线保证 50 欧姆阻抗匹配, 走线长度尽量短, 需要增加 π 行电路以备调节 ( 优先参考下面电路,NC 代表不需焊接 ) 图 12: RF 参考走线 上海稳恒电子科技有限公司 18 / 23

19 3.7 PCM 语音功能 表 9: PCM 语音接口 PIN Symbol Description Type(V) 28 PCM_DIN PCM_DIN 1.8V 29 PCM_DOUT PCM_DOUT 1.8V 30 PCM_SYNC PCM_SYNC 1.8V 31 PCM_CLK PCM_CLK 1.8V 52 CODEC_CLK CODEC CLOCK 26M 说明 :CODEC_CLK 信号是输出提供给外部 codec 芯片的主时钟, 设计时建议兼容 codec 的晶体 时钟电路, 以防止 CODEC_CLK 信号不能满足外部 codec 芯片的时钟要求! 上海稳恒电子科技有限公司 19 / 23

20 4 电气特性 WH-G405tf 硬件设计手册 Wireless High-reliability 4.1 工作储存温度 工作存储温度如下图所示 表 10: 温度参数 Parameter Min Max Operating temperature Storage temperature 输入电源 表 11: 电源特性 Parameter Min Typ Max Input Voltage(V) 模块 IO 口电平 对于 SIM 卡电源引脚 USIM_VDD 1.8V U(S)IM 应用 (Class C), USIM_VDD=1.8V; 3.0V U(S)IM 应用 (Class B), USIM_VDD=3.0V 对于 RST 开关机 飞行模式等 IO 口 : 表 12 :I/O 电压规格 Symbol Parameter Min Typ Max Unit VIH High-level input voltage V VIL Low-level input voltage V VOH High-level output voltage V VOL Low-level output voltage V 上海稳恒电子科技有限公司 20 / 23

21 5 联系方式 WH-G405tf 硬件设计手册 Wireless High-reliability 公司 : 上海稳恒电子科技有限公司地址 : 上海市闵行区秀文路 898 号西子国际五号楼 611 室网址 : 邮箱 : sales@mokuai.cn 电话 : 或者 使命 : 做芯片到产品的桥梁愿景 : 全球有影响力的模块公司价值观 : 信任专注创新产品观 : 稳定的基础上追求高性价比 上海稳恒电子科技有限公司 21 / 23

22 6 免责声明 本文档提供有关 WH-G405tf 产品的信息, 本文档未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除在其产品的销售条款和条件声明的责任之外, 我公司概不承担任何其它责任 并且, 我公司对本产品的销售和 / 或使用不作任何明示或暗示的担保, 包括对产品的特定用途适用性, 适销性或对任何专利权, 版权或其它知识产权的侵权责任等均不作担保 本公司可能随时对产品规格及产品描述做出修改, 恕不另行通知 上海稳恒电子科技有限公司 22 / 23

23 7 更新历史 修订表 编号 生成版本 修订章节与内容 修订日期 1 V1.0.0 生成第一个版本 V1.0.1 完善封装及部分功能 V1.0.2 增加串口电平匹配说明 上海稳恒电子科技有限公司 23 / 23

WH-G405tf硬件设计手册

WH-G405tf硬件设计手册 WH-G405tf 硬件设计手册 ( 透传版 ) 文件版本 :V1.0.3 第 1 页共 31 页 目录 1. 关于文档...3 1.1. 文档目的...3 1.2. 安全警告...3 1.3. 产品外观...4 1.4. 参考文档列表...4 2. 产品简介...5 2.1. 基本参数...5 2.2. 模块应用框图...6 2.3. 引脚定义...7 2.4. 开发套件...11 3. 硬件参考设计...12

More information

WH-BLE103硬件设计手册V1.0.0.doc

WH-BLE103硬件设计手册V1.0.0.doc WH-BLE103 硬件设计手册 文件版本 :V1.0.0 第 1 页共 17 页 目录 WH-BLE103 硬件设计手册... 1 1 关于文档... 3 1.1. 文档目的... 3 1.2. 产品外观... 3 1.3. 参考文档列表... 3 2 产品简介... 4 2.1. 基本参数... 4 2.2. 模块应用框图... 4 2.3. 引脚定义... 5 2.4. 开发套件... 6 3

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

WH-GM35-MQTT版-AT配置命令手册V1.01

WH-GM35-MQTT版-AT配置命令手册V1.01 MQTT-AT 配置命令手册 上海稳恒电子科技有限公司 1 / 10 www.mokuai.cn 版权声明 本手册版权属于上海稳恒, 任何人未经我公司书面同意复制 引用或者修改本手册都将承担法律责任 目录 1.1 通用参数配置 AT 命令说明 :...3 1.2 AT+CLIENTID: 配置设备 ID...3 1.3 AT+IPPORT: 配置 MQTT 服务器...3 1.4 AT+USERPWD:

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导, 本文档中的所有陈述

版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导, 本文档中的所有陈述 H330 Mini PCIe Adapter 硬件用户手册 文档版本 : V1.0.4 更新日期 : 2013-05-27 版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导,

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

版 本 说 明 序 号 时 间 作 者 说 明 Eric Zhang 创 建 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 Eric zhang 修 正 错 误 部 分 4

版 本 说 明 序 号 时 间 作 者 说 明 Eric Zhang 创 建 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 Eric zhang 修 正 错 误 部 分 4 A6/A7/A6C 模 组 用 户 使 用 手 册 (GSM/GPRS 四 频 段 +GPS+AGPS) 2016 年 2 月 28 日 1 版 本 说 明 序 号 时 间 作 者 说 明 1 2016-02-26 Eric Zhang 创 建 2 2016-06-20 Eric Zhang 修 改, 增 加 了 A6 V3 硬 件 说 明 和 A7 的 说 明 3 2016-06-28 Eric

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Data Sheet NB-IoT 通信模块 DS01010101 V1.01 Date: 2018/08/02 产品数据手册 概述 产品特性 ZM7100X 是广州致远电子有限公司自主研发的一款高性能 低功耗 低成本 大连接的 NB-IoT 尺寸为 16mm 18mm, 是最小的标准尺寸, 能满足终端设备对小尺寸模块产品的需求, 已支持主流的 OneNet 云 天翼云和阿里云, 能够最大程度地拿到运营商补贴

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

V2平台接口

V2平台接口 文档名称 文件编号 DL-YJ-20150516-001 版本号 1.1.2 编制 : 孙标审核 : 批准 : 编制时间 :2015/7/16 审核时间 : 批准时间 : 物联网 WIFI 基础模块 DL2103A-V1.1 用户手册 杭州德澜科技有限公司 1 / 17 版本历史 版本号修订日期修订人参与者修订内容备注 1.0.0 2015/1/20 孙标创建 1.0.1 2015/4/22 孙标修改引脚图

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

中国联通定制手机

中国联通定制手机 中 国 联 通 定 制 手 机 用 户 手 册 Lenovo A780 目 录 第 1 章 安 全 和 维 护 -----------------------------------------------------------------------2 第 2 章 安 装 和 充 电 -----------------------------------------------------------------------5

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

USR-G781-规格书-V1.0.5

USR-G781-规格书-V1.0.5 有人物联网 --3G/4G 服务器系列 规格书 济南有人物联网技术有限公司 有人在认真做事! 产品特性 支持多个 4G 模块版本 :5 模 7 模 ; 支持 2 个网口, 可设置为 1LAN +1WAN, 或 2 LAN; 支持 APN 专网卡, 抽屉式 SIM 卡座 ; 支持 VPN(PPTP L2TP GRE IPSEC OPENVPN SSTP); DHCP, 静态 IP 等联网方式 ; 支持静态路由表管理,

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

XY3701硬件规格书_V1.0

XY3701硬件规格书_V1.0 XY3701 硬件规格书 V1.0 2017 年 03 月 12 日 第 1 页 概述 本核心板是以 MT6737T 为平台, 周围配件主要有 MT6328 电源模块 emcp 存储模块 MT6625L 四 合一无线模块 MT6158 和 MT6169 射频模块等 通过对 XY3701 核心板的介绍, 用以指导用户基于该 核心板进行硬件设计, 并在基础上更方便快捷的进行各种底板产品的设计 阅读对象

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口...

目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口... USR-C322 硬件设计手册 文件版本 :Ver1.2 第 1 页共 15 页 目录 1. 产品概述... 3 1.1 产品简介... 3 1.2 引脚描述... 3 1.3 尺寸描述... 5 1.4 封装尺寸... 5 1.5 开发套件... 6 2. 硬件参考设计... 10 2.1 典型应用硬件连接...10 2.2 电源接口... 10 2.3 UART 接口... 11 2.4 复位控制和恢复出厂设置控制...12

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

<4D6963726F736F667420576F7264202D20D6D0D0CBCDA8D1B6204D4733373332C4A3BFE9D3C3BBA7D3B2BCFEC9E8BCC6CAD6B2E15F56312E302E646F63>

<4D6963726F736F667420576F7264202D20D6D0D0CBCDA8D1B6204D4733373332C4A3BFE9D3C3BBA7D3B2BCFEC9E8BCC6CAD6B2E15F56312E302E646F63> 中 兴 通 讯 MG3732 模 块 用 户 硬 件 设 计 手 册 版 本 :V1.0 中 兴 通 讯 股 份 有 限 公 司 版 权 声 明 Copyright 2006 by ZTE Corporation 本 资 料 著 作 权 属 中 兴 通 讯 股 份 有 限 公 司 所 有 未 经 著 作 权 人 书 面 许 可, 任 何 单 位 或 个 人 不 得 以 任 何 方 式 摘 录 复 制

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

SLM751B模块规格说明_V1.3

SLM751B模块规格说明_V1.3 受控文件名称 受控版本号 V1.7 发布机构 美格智能技术股份有限公司 发布日期 2015 年 09 月 06 日 共 11 页第 1 页 1. 产品概述 SLM751B 是一款 LCC 封装的多模多频 LTE 智能通信模块, 此模块适用于 TD-LTE/FDD-LTE/WCDMA/TD-SCDMA/EVDO/CDMA/GSM 多种网络制式的宽带智能 无线通信模块 SLM751 采用 Android4.4

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

STK2139 Datasheet

STK2139 Datasheet 太欣半導體股份有限公司 SYNTEK SEMICONDUCTOR CO., LTD. USB 2.0 Video Class PC Camera Controller STK2139 Datasheet Released Version: V1.4 Content STK2139 1 PRODUCT OVERVIEW... 4 2 FUNCTIONAL BLOCK DIAGRAM... 5 3 PRODUCT

More information

s

s s PLC (IEC) : PLC EMC EMC EMC PLC MC AS : 2 . 4 1. 2. 5 1. 2. EMC 3.. 14 1. 2.. 16. SINUMERIK SIMODRIVE 17 1. 2. 3.. PLC 23 1. S7-200 PLC 2. S7-200 3. S7-200 (TP/OP/MP) 4.. 30 1. 2. 3 . 1. 1.3 n 2 : 2

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

F3X33系列说明书

F3X33系列说明书 F-B200CN-BG NB-IoT 模块硬件设计手册 文档版本 V1.0.4 产品名称 : F-B200CN-BG 密级 共 34 页 F-B200CN-BG NB-IoT 模块 硬件设计手册 客户热线 :400-8838 -199 电话 :+86-592-6300320 传真 :+86-592-5912735 网址 :www.four-faith.com 地址 : 厦门集美软件园三期 A06 栋

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

USR-808规格书-V1.0.8

USR-808规格书-V1.0.8 有人物联网 4G 路由器 G808 规格书 济南有人物联网技术有限公司 有人在认真做事! 产品特性 支持 4 个有线 LAN 口,1 个有线 WAN 口, 一个命令串口 ; 支持 1 个 WLAN 无线局域网 支持多种 LED 通信指示灯 支持串口 SSH Telnet Web 配置页面 支持一键恢复出厂设置 支有线网口均支持 10/100Mbps 速率 支持 VPN Client (PPTP L2TP

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

  附件:2015年广东省重大科技专项申报指南

  附件:2015年广东省重大科技专项申报指南 2015 2015-02-26 2014 2018 020-83163947 0907 4G 2G/3G/4G 2G/3G/4G 2G/3G/4G 2G/3G/4G, 3GPP R9 1000 2G/3G/4G 3GPP LTE R9 2G/3G/4G 65nm TD-LTE B38/39/40/41 LTE FDD B1/3/7/20/4 WCDMA HSPA+ B1/2/5/8 GSM/GPRS/EDGE

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ).

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ). TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 )... 2 INTERFACE DEFINITION( 接口定义 )... 3 CONTROLLER DIMENSIONS(

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

paul

paul 数据手册 4G(LTE Cat.4) 无线模块 WLT4G-X 产品数据手册编号 :DSWLT04017 更新日期 :2019/02/14 版本 :V1.02 产品概述 WLT4G-X 模块是广州晓网科技推出的 4G 数据传输模块, 其中 WLT4G-D 支持移动 2G(GPRS)\4G (LTE-TDD) 双模 ;WLT4G-U 支持联通 LTE-FDD\WCDMA;WLT4G-H 为全网通 4G

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

1 N256 模块硬件设计手册 版本 :V1.0 上海零零智能科技有限公司

1 N256 模块硬件设计手册 版本 :V1.0 上海零零智能科技有限公司 1 N256 模块硬件设计手册 版本 :V1.0 上海零零智能科技有限公司 2 概述 N256 是一款基于 MT2625 平台的多功能无线模块, 本文档通过介绍 N256 模块及其硬件接口规范, 电气特 性和机械规范产品等, 用以指导用户对模块进行硬件设计, 并在该模块基础上更方便快捷的进行各种终端无线产 品的设计 阅读对象 本文档主要适用于以下工程师 : 系统设计工程师 结构工程师 硬件工程师 软件工程师

More information