目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口...

Size: px
Start display at page:

Download "目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口..."

Transcription

1 USR-C322 硬件设计手册 文件版本 :Ver1.2 第 1 页共 15 页

2 目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口 复位控制和恢复出厂设置控制 天线 联系方式 免责声明 更新历史 附件 1: 评估板原理图...15 第 2 页共 15 页

3 1. 产品概述 1.1 产品简介 USR-C322 是有人 WiFi 模块 C32 系列的一款高性能模块 该模块是为实现嵌入式系统的无线网络通讯的应用而设计的一款低功耗 b/g/n 模块 通过该模块, 客户可以将物理设备连接到 WiFi 网络上, 从而实现物联网的控制与管理 1.2 引脚描述 下图为 USR-C322 的引脚对应图 : 图 1 USR-C322 引脚图 第 3 页共 15 页

4 表 1 USR-C322 模块管脚说明 管脚 网络名 功能描述 1 GND 电源地 2 GPIO 通用 GPIO 3 GPIO 通用 GPIO 4 nreset 模块复位引脚, 低电平有效 5 SOP2 启动选择位, 串口升级固件时拉高 6 SOP1 启动选择位, 悬空 7 SOP0 启动选择位, 悬空 8 ANA DC-DC RF 电源输出引脚, 悬空 9 NC 空闲引脚, 悬空 10 NC 空闲引脚, 悬空 11 GND 电源地 12 GND 电源地 13 VBT_CC 电源输入引脚, 请接 +3.3V 14 VDD_ANA2 电源输入引脚, 请接 +3.3V 15 GPIO 通用 GPIO 16 NC 空闲引脚, 请悬空 17 GND 电源地 18 GPIO 通用 GPIO 19 UART0 TX 模块串口 0 发送引脚 20 UART0 RX 模块串口 0 接收引脚 21 nreload 拉低 3 秒以上恢复出厂设置引脚, 拉低 1-3 秒启动 Simplelink 配置 22 nready 模块工作正常指示引脚, 低有效, 可外接 LED 23 nlink 模块 WiFi 连接指示引脚, 低有效, 可外接 LED 24 UART0 CTS 串口 0 流控引脚 CTS 25 UART0 RTS 串口 0 流控引脚 RTS,485 通信控制引脚 26 GPIO 通用 GPIO 27 GPIO 通用 GPIO 28 GND 电源地 29 GND 电源地 30 UART1 TX 模块串口 1 发送引脚 31 UART1 RX 模块串口 1 接收引脚 32 GPIO 通用 GPIO 33 GPIO 通用 GPIO 34 GPIO 通用 GPIO 35 GPIO 通用 GPIO 36 GPIO 通用 GPIO 37 GPIO 通用 GPIO 38 GPIO 通用 GPIO 39 NC NC 第 4 页共 15 页

5 40 NC NC 41 GPIO 通用 GPIO 42 NC NC 43 NC NC 44 GND 电源地 USR-C322 硬件设计手册 注 : 模块底部的方形焊盘为 45 脚接地焊盘, 主要用于散热, 客户不需要焊接 1.3 尺寸描述 外形尺寸为 18.22*26.65*2.80mm, 误差为 ±0.2mm. 引脚尺寸如图 封装尺寸 图 2 模块外形尺寸图 建议客户模块 PCB 焊盘封装如下 : 第 5 页共 15 页

6 图 4 模块焊盘封装图图中阴影灰色部分建议客户 PCB 不要进行铺铜操作, 否则会影响信号质量 模块底部的焊盘是用于散热, 客户不需要连接 注 : 封装库可在官网下载, 模块 USR-C210,USR-C300,USR-C322 及蓝牙 USR-BLE100 的 PCB 焊盘封装相同, 可兼容使用 1.5 开发套件 有人提供评估板开发套件辅助用户开发使用 如图所示, 用户可以选择 UART 串口进行模块通讯 第 6 页共 15 页

7 图 5-1 USR-WIFI-3x1 模块评估板 标示 1 供电插针, 出厂默认短接 2 左 RS232 通讯 3 RS485 通讯 4 USB 通讯 5 右 RS232 通讯 操作步骤 如使用不同的串口通讯, 将短接帽上下短接即可 第 7 页共 15 页

8 图 5 跳线帽连接指示图如图 5 所示, 黑色指示部分为跳线帽出厂默认状态 对图中红色部分选择说明 : 跳线帽连接 1 和 2 为 USB 通讯 跳线帽连接 2 和 3 为右侧 RS232 通讯 蓝色区域为 RS485 通讯, 如使用, 短接帽上下短接即可使用 表 2 USR-WIFI-3x1 模块评估板接口描述 功能 名称 描述 DC Jack 5-18V 电源输入接口 DB9 两个 9-Pin 公头, 用于连接 PC 串口 外部接口 JTAG 20 脚 JTAG 3-Pin RS485 3-Pin RS485 接口 USB 用于连接 PC 串口 Power 3.3V 电源灯 UART0_RX RS485 或左侧 RS232 通讯 RXD0 指示灯 UART0_TX RS485 或左侧 RS232 通讯 TXD0 指示灯 LED 灯 UART1_RX 左侧 RS232 通讯 RXD1 指示灯 UART1_TX 左侧 RS232 通讯 TXD1 指示灯 贴片 LED 灯 USB RS232 COM0 RX/TX 指示灯 nready nready/gpio 指示灯 第 8 页共 15 页

9 按健 nlink SOP nreset nreload WPS SLEEP USR-C322 硬件设计手册 nlink/gpio 指示灯 SOP 启动选择引脚复位按健恢复出厂设置按健 WPS 引脚休眠唤醒引脚 评估板原理图请参考附件 1 第 9 页共 15 页

10 2. 硬件参考设计 2.1 典型应用硬件连接 图 6 USR-C322 模块硬件框图 2.2 电源接口 推荐采用开关电源供电, 电源工作电压 :3.0V~3.6V 推荐 3.3V 通过主电源引脚为模块供电, 引脚接口并联适当储能电容和高频电容, 电路图如图 7 所示 第 10 页共 15 页

11 图 7 电源供电示意图 表 1 Power Supply Characteristics Symbol Parameter Min Type Max Unit VCC Power supply voltage V 注 :Io 电流 AP:70mA STA:18mA 2.3 UART 接口 如果是跟 MCU(3.3V 电平 ) 直接通信, 只需要将模块的 TXD 加到 MCU 的 RXD, 将模块的 RXD 接到 MCU 的 TXD 上即可 如果 MCU 是 5V 电平, 中间需要加一下转换电路如下图 8 所示 : 第 11 页共 15 页

12 图 8 UART 电平转换参考设计 2.4 复位控制和恢复出厂设置控制 模块提供重启复位功能,,nReload 可以连接到外部按钮或配置引脚, 当按钮按下时, 拉低 1-3 秒是启动 simplelink 配置,3S 以上是恢复出厂设置 该引脚应在模块外部加电阻 (4.7K~10K 欧姆 ) 上拉 nreset: 模块复位信号, 输入 低电平有效, 模块内部有 100K 电阻上拉到 3.3V 当模块上电时或者出现故障时,MCU 需要对模块做复位操作, 引脚拉低至少 0.5S, 然后拉高或悬空复位 参考电路如图 9 所示 : 图 9 nreload 和 nreset 设置控制电路图 2.5 天线 天线分外置 I-PEX 座, 内置板载天线, 二种 默认出厂使用内置天线, 注意事项如下, 以确保信号良好 : 第 12 页共 15 页

13 图 10 天线指示图 图 10 为天线指示图,0 欧姆电阻默认焊接 1,2 端, 内置天线 外置天线 0 欧姆电阻默认焊接 1,3 端 如果客户想自行修改天线接口, 可手动修改 0 欧姆电阻位置 由于 0 欧姆电阻封装较小, 客户自行修改后可能导致信号不良, 请谨慎操作 在用户的 PCB 板上, 天线部位不能放置元器件 ; 天线远离金属, 至少要距离周围有较高的元器件 10mm 以上, 远离电感 强电等干扰源 ; 塑胶外壳也应该保证天线处于外壳开窗或者边缘位置 ; 确保天线部分位于 PCB 主板边缘,RF 底部不能铺铜走线 ( 可以直接做挖空处理 ); 天线部分不能被金属外壳遮挡, 塑料外壳需要距离天线至少 10mm 以上 第 13 页共 15 页

14 3. 联系方式 公司 : 地址 : 山东省济南市高新区新泺大街 1166 号奥盛大厦 1 号楼 11 层网址 : 客户支持中心 : 邮箱 :sales@usr.cn 企业 QQ: 电话 : 或者 有人愿景 : 拥有自己的有人大厦公司文化 : 有人在认真做事! 产品理念 : 简单可靠价格合理有人信条 : 天道酬勤厚德载物共同成长 4. 免责声明 本文档提供有关 USR-C322 模块产品的信息, 本文档未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除在其产品的销售条款和条件声明的责任之外, 我公司概不承担任何其它责任 并且, 我公司对本产品的销售和 / 或使用不作任何明示或暗示的担保, 包括对产品的特定用途适用性, 适销性或对任何专利权, 版权或其它知识产权的侵权责任等均不作担保 本公司可能随时对产品规格及产品描述做出修改, 恕不另行通知 5. 更新历史 版本 V1.0 创立 版本 V1.1 增加了评估板使用说明 第 14 页共 15 页

15 附件 1: 评估板原理图 第 15 页共 15 页

WH-BLE103硬件设计手册V1.0.0.doc

WH-BLE103硬件设计手册V1.0.0.doc WH-BLE103 硬件设计手册 文件版本 :V1.0.0 第 1 页共 17 页 目录 WH-BLE103 硬件设计手册... 1 1 关于文档... 3 1.1. 文档目的... 3 1.2. 产品外观... 3 1.3. 参考文档列表... 3 2 产品简介... 4 2.1. 基本参数... 4 2.2. 模块应用框图... 4 2.3. 引脚定义... 5 2.4. 开发套件... 6 3

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

LE-BT-01 BLE Module

LE-BT-01 BLE Module Version 1.0 Leconiot BLE Team http://www.leconiot.com/ 目录 目录... 2 1. 产品概述... 4 1.1. 特点... 5 1.2. 应用... 7 1.3. 主要参数... 9 2. 外型与尺寸... 10 2.1. 封装尺寸... 11 3. 接口定义... 11 4. 电气特性... 13 4.1. 接口说明... 13 4.2. 调试...

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

(Microsoft Word - \275\327\244\345\265o\252\355\241G\277\275\254L\247g960527.doc)

(Microsoft Word - \275\327\244\345\265o\252\355\241G\277\275\254L\247g960527.doc) 論 文 發 表 七 處 理 國 中 小 懷 孕 學 生 的 性 別 教 育 觀 想 蕭 昭 君 ( 國 立 花 蓮 教 育 大 學 教 育 學 系 副 教 授 ) 中 華 民 國 96 年 5 月 27 日 B-121 壹 前 言 : 如 果 阿 英 晚 生 三 十 年 處 理 國 中 懷 孕 學 生 的 性 別 教 育 觀 想 蕭 昭 君 國 立 花 蓮 教 育 大 學 教 育 系 副 教 授 2007/5/21

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

创新“派、树、挂”工作法,助推欠发达地区“两新”党建工作规范发展

创新“派、树、挂”工作法,助推欠发达地区“两新”党建工作规范发展 广 东 省 党 的 建 设 研 究 会 2014 年 度 重 点 课 题 之 二 清 远 市 加 强 两 新 组 织 党 建 工 作 规 范 化 信 息 化 研 究 清 远 市 两 新 组 织 党 工 委 清 远 市 党 的 建 设 研 究 会 2015 年 1 月 20 日 目 录 一 理 论 基 础...2 ( 一 ) 两 新 组 织 党 建 工 作 内 涵... 2 ( 二 ) 两 新 组 织

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11

目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 目 录 第 一 部 分 2015 届 毕 业 生 就 业 基 本 情 况... 2 ( 一 ) 毕 业 生 数 量... 2 ( 二 ) 毕 业 生 结 构... 2 ( 三 ) 生 源 分 布 情 况... 2 ( 四 ) 就 业 情 况... 4 ( 五 ) 毕 业 生 择 业 观... 11 ( 六 ) 毕 业 生 薪 酬 情 况... 12 ( 七 ) 就 业 与 所 学 专 业 相 关 度...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

《世说新语》

《世说新语》 ! " $$$$$$$$$$$$$$$$$$$$$$!"# $$$$$$$$$$$$$$$$$$$$!"# $$$$$$$$$$$$$$$$$$$!"%# $$$$$$$$$$$$$$$$$$$!& # $$$$$$$$$$$$$$$$$$$!( # $$$$$$$$$$$$$$$$$$$!))# $$$$$$$$$$$$$$$$$$$!"* # $$$$$$$$$$$$$$$$$$$!" +# $$$$$$$$$$$$$$$$$$$!"&"#

More information

untitled

untitled TresCon UNO TresCon UNO TresCon UNO 1....4 1.1... 4 1.2... 4 1.2.1...4 1.2.2...5 1.2.3...5 1.2.4...5 1.2.5...5 1.2.6...6 1.2.7...6 1.3... 6 1.3.1...6 1.3.2 /...7 2...7 2.1... 7 2.2... 7 2.3...7 2.4...

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

(CIP) : /. :, 2004 ISBN T S CIP (2004) (1 : ) : * : : :

(CIP) : /. :, 2004 ISBN T S CIP (2004) (1 : ) : * : : : (CIP) : /. :, 2004 ISBN 7 5045 4510 4.... T S974. 2 CIP (2004) 044759 (1 : 100029 ) : * 787 1092 16 8. 25 176 2004 6 1 2004 6 1 : : 15. 00 : 010 64929211 : 010 64911190 : http: / / www. class. com. cn

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

新 闻 资 讯 营 销 为 王 知 彼 知 己 11 行 业 动 态 职 场 文 化 生 日 祝 福

新 闻 资 讯 营 销 为 王 知 彼 知 己 11 行 业 动 态 职 场 文 化 生 日 祝 福 11 2014 第 四 期 新 闻 资 讯 营 销 为 王 知 彼 知 己 行 业 动 态 职 场 文 化 生 日 祝 福 新 闻 资 讯 营 销 为 王 知 彼 知 己 11 行 业 动 态 职 场 文 化 生 日 祝 福 中 教 启 星 中 璀 教 璨 启 亮 星 相 亮 相 第 67 六 届 芜 中 湖 国 科 教 博 育 会 装 备 展 由 中 国 教 育 装 备 行 业 协 会 主 办,

More information

CWT-3000 SMS DTU

CWT-3000 SMS DTU TT62 GPRS DTU 3.0 GPRS -------------------------------------------------------------------------------------------- TT62 GPRS DTU -----------------------------------------------------------------------

More information

Protel Schematic

Protel Schematic 设计绘制标化第 页共 页批准 主回路校对审核徐德进 LG LE HE HG HG LG IF R R R R AC AC +HT R R C.NF-0V +HT NC ANODE CATHODE NC VEE VO VO U VO0 +V C 0N Q IKW0N0H Q IKW0N0H Q FGH0N0SFD Q FGH0N0SFD Q FGH0N0SFD Q FGH0N0SFD D HER0 D

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

二零一五年施政報告 - 施政綱領 - 第六章 教育、人口及人力資源

二零一五年施政報告 - 施政綱領 - 第六章 教育、人口及人力資源 2015 104 30 8.3%32.5 2015 5 1 105 (a) 2007 2030 2030 (b) 2015 65 60 106 65 60 (c) 107 3,000 2015 (d) 2015-16 5 000 108 2017-18100 100 100 2015-16 109 2014 10 (e) 110 15 5 111 (f) 112 2 000 3 000 2 000

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

修订历史 版本日期原因 V /07/11 创建文档 i

修订历史 版本日期原因 V /07/11 创建文档 i User 硬件使用手册 Manual UM01010101 V1.00 Date: 2015/07/11 类别 关键词 摘要 内容 QN9020;QN9021;ZLG9021P0-1; 介绍 ZLG9021P0-1 配套评估板的硬件电路和接口使用说明 广州周立功单片机科技有限公司 修订历史 版本日期原因 V0.90 2015/07/11 创建文档 i 目录 1. 开发套件简介... 1 1.1 产品图片...

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

目 录 卷 首 语 01 最 是 一 年 春 好 处 ( 月 刊 ) 圆 园 16 年 2 月 总 第 168 期 主 办 : 金 华 市 精 神 文 明 建 设 委 员 会 承 办 : 中 共 金 华 市 委 宣 传 部 金 华 市 文 明 办 主 编 辑 委 员 会 任 : 何 杏 仁 副 主

目 录 卷 首 语 01 最 是 一 年 春 好 处 ( 月 刊 ) 圆 园 16 年 2 月 总 第 168 期 主 办 : 金 华 市 精 神 文 明 建 设 委 员 会 承 办 : 中 共 金 华 市 委 宣 传 部 金 华 市 文 明 办 主 编 辑 委 员 会 任 : 何 杏 仁 副 主 最 是 一 年 春 好 处 本 刊 评 论 员 中 国 共 产 党 金 华 市 六 届 十 次 全 会 通 过 了 金 华 市 国 民 经 济 和 社 会 发 展 第 十 三 个 五 年 规 划 袁 明 确 了 提 前 实 现 野 四 翻 番 冶 尧 高 水 平 建 成 小 康 社 会 的 新 目 标 遥 我 们 应 当 看 到 袁 高 水 平 全 面 建 成 小 康 社 会 袁 文 化 小 康 不

More information

地铁跑酷小游戏地铁跑酷下载北京版 地铁跑酷小游戏玩 地铁跑酷最低版本

地铁跑酷小游戏地铁跑酷下载北京版 地铁跑酷小游戏玩 地铁跑酷最低版本 地 铁 跑 酷 小 游 戏 地 铁 跑 酷 下 载 北 京 版 地 铁 跑 酷 小 游 戏 玩 地 铁 跑 酷 最 低 版 本 www.hwsyp.com http://www.hwsyp.com 地 铁 跑 酷 小 游 戏 地 铁 跑 酷 下 载 北 京 版 地 铁 跑 酷 小 游 戏 玩 地 铁 跑 酷 最 低 版 本 嗖 的 一 声! 那 么 极 重 繁 重 的 石 器 就 像 是 一 块 平

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

永宁县人民政府文件

永宁县人民政府文件 永 宁 县 2015 年 政 府 信 息 公 开 年 度 报 告 本 报 告 由 银 川 市 永 宁 县 人 民 政 府 办 公 室 按 照 中 华 人 民 共 和 国 政 府 信 息 公 开 条 例 宁 夏 回 族 自 治 区 实 施 < 中 华 人 民 共 和 国 政 府 信 息 公 开 条 例 > 办 法 和 国 务 院 办 公 厅 关 于 印 发 2015 年 政 府 信 息 公 开 工 作

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

Wireless DAC_UM-TC_ indd

Wireless DAC_UM-TC_ indd NuForce Optoma NuForce WDC200 WDC200 Wireless DAC User s Manual ALWDCNZZ NuForce WDC200 FCC 15 B (Class B) 1 NuForce WDC200... 3... 3... 3...3...3...4...4...4... 5...6 AP...6 (STA )...9 Wi-Fi Protected

More information

目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职

目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职 2015 届 毕 业 生 就 业 质 量 年 度 报 告 二 0 一 五 年 十 二 月 目 录 第 一 章 基 本 状 况... 1 一 毕 业 生 规 模 与 结 构...1 二 毕 业 生 生 源 地 分 布...3 三 毕 业 生 初 次 就 业 率...5 四 毕 业 生 就 业 去 向 分 布...7 五 毕 业 生 就 业 行 业 分 布...8 六 毕 业 生 就 业 职 业 分 布...9

More information

F8913D使用说明书

F8913D使用说明书 F8913D 系列 ZIGBEE 模块 用户硬件设计手册 文档编号产品版本密级 V1.0 产品名称 : F8913D ZIGBEE 模块 共 35 页 F8913D 系列 ZIGBEE 模块用户硬件设计手册 V1.0 本手册适用于 F8913D 系列 ZIGBEE 模块 厦门四信通信科技有限公司 Add: 中国厦门市软件园观日路 44 号 3 楼客户热线 :400-8838 -199 电话 :+86-592-6300320

More information

78600000000600

78600000000600 B202H 指 导 手 册 票 务 热 敏 打 印 机 CUSTOM S.p.A. Via Berettine 2/B 43010 Fontevivo (PARMA) - Italy Tel. : +39 0521-680111 Fax : +39 0521-610701 http: www.custom.biz 客 户 技 术 支 持 : Email : support@custom.it 2016

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

() 221 (26) : : : : : : (37) : : : : : : : ( ) ( ) ( ) 6 6 ( ) ( ) ( ) ( )

() 221 (26) : : : : : : (37) : : : : : : : ( ) ( ) ( ) 6 6 ( ) ( ) ( ) ( ) () 5 ) 2012 22 10 ( ) 309 ( ) 11 1 900 182 16 2012 ( ; ; ) 12 500 ( ) 2010 2011 62 24 16.8 2010-2011 13 26 ( ) SPA 37 ( ( ) 2010 2011 (13) : : : : : : () 221 (26) : : : : : : (37) : : : : : : 98 800 :

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

( ) 182 ( CIP) /,. 2. :, 2005 ISBN 7-81078 -596-6............ - - -. F842. 3 CIP ( 2005) 141447 2005 ( ) : 12 : 100029 : http: / / www. uibep. com : 1

( ) 182 ( CIP) /,. 2. :, 2005 ISBN 7-81078 -596-6............ - - -. F842. 3 CIP ( 2005) 141447 2005 ( ) : 12 : 100029 : http: / / www. uibep. com : 1 ) ( ) 182 ( CIP) /,. 2. :, 2005 ISBN 7-81078 -596-6............ - - -. F842. 3 CIP ( 2005) 141447 2005 ( ) : 12 : 100029 : http: / / www. uibep. com : 140mm 203mm 16 401 2006 1 2 2006 1 1 ISBN 7-81078-

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Data Sheet NB-IoT 通信模块 DS01010101 V1.01 Date: 2018/08/02 产品数据手册 概述 产品特性 ZM7100X 是广州致远电子有限公司自主研发的一款高性能 低功耗 低成本 大连接的 NB-IoT 尺寸为 16mm 18mm, 是最小的标准尺寸, 能满足终端设备对小尺寸模块产品的需求, 已支持主流的 OneNet 云 天翼云和阿里云, 能够最大程度地拿到运营商补贴

More information

Microsoft Word - xiuxinduanyu-2-doc.doc

Microsoft Word - xiuxinduanyu-2-doc.doc 明 慧 专 题 文 章 汇 编 这 些 文 章 是 各 位 大 法 弟 子 作 者 在 各 自 在 修 炼 过 程 中 在 当 时 所 在 层 次 的 认 识 ; 我 们 收 集 成 册, 谨 供 不 经 常 访 问 明 慧 网 的 同 修 参 考 师 父 的 法 才 是 指 导 大 法 弟 子 修 炼 提 高 的 根 本, 广 泛 阅 读 明 慧 网 上 更 多 同 修 的 修 炼 交 流, 能

More information

ESP-M1/M2数据手册

ESP-M1/M2数据手册 产品手册 ESP-M1/M2 深圳四博智联科技有限公司 版本 V1.1 2017 年 3 月 18 日编号 :DM0013CN 特点 SOC 特性 内置 Tensilica L106 超低功耗 32 位微处理器, 主频支持 80MHz 和 160MHz, 支持 RTOS 内置 TCP/IP 协议栈 内置 1 路 10 bit 精度 ADC 外设接口 HSPI UART I2C I2S IR Remote

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加

版本说明 序号时间作者说明 Eric Zhang 创建 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 Eric zhang 修正错误部分 Eric zhang 增加 A5/A6/A7/A6C/A20 模组用户使用手册 (GSM/GPRS 四频段 +GPS+AGPS) 2016 年 2 月 28 日 1 版本说明 序号时间作者说明 1 2016-02-26 Eric Zhang 创建 2 2016-06-20 Eric Zhang 修改, 增加了 A6 V3 硬件说明和 A7 的说明 3 2016-06-28 Eric zhang 修正错误部分 4 2016-06-29

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

(Microsoft Word - 3\271\375\246\321\257R.doc)

(Microsoft Word - 3\271\375\246\321\257R.doc) 東 野 圭 吾 短 篇 集 3 一 徹 老 爹 得 知 母 親 生 下 的 是 男 寶 寶 時, 我 打 從 心 底 感 到 開 心, 因 為 這 代 表 我 終 於 能 夠 逃 離 那 悲 慘 的 生 活 了 而 父 親 的 喜 悅 肯 定 是 遠 勝 於 我 的 母 親 在 產 房 時, 父 親 和 我 在 家 中 等 候 當 我 轉 告 他 醫 院 來 電 報 喜, 他 立 刻 如 健 美 選

More information

大 台 北 與 桃 竹 苗 地 區 北 得 拉 曼 巨 木 步 道 新 竹 縣 尖 石 鄉 鎮 西 堡 巨 木 群 步 道 新 竹 縣 尖 石 鄉 鳥 嘴 山 登 山 步 道 苗 栗 縣 泰 安 鄉 加 里 山 登 山 步 道 苗 栗 縣 南 庄 鄉

大 台 北 與 桃 竹 苗 地 區 北 得 拉 曼 巨 木 步 道 新 竹 縣 尖 石 鄉 鎮 西 堡 巨 木 群 步 道 新 竹 縣 尖 石 鄉 鳥 嘴 山 登 山 步 道 苗 栗 縣 泰 安 鄉 加 里 山 登 山 步 道 苗 栗 縣 南 庄 鄉 地 區 步 道 名 稱 蘇 花 古 道 : 大 南 澳 越 嶺 段 困 難 度 分 級 長 度 ( 公 里 ) 2 4.1 宜 蘭 縣 南 澳 鄉 南 澳 古 道 1 3.0 宜 蘭 縣 南 澳 鄉 拳 頭 姆 自 然 步 道 1 1.3 宜 蘭 縣 三 星 鄉 林 務 局 台 灣 百 條 推 薦 步 道 交 通 與 路 況 位 置 交 通 指 南 路 況 註 記 管 理 單 位 步 道 口 位 於

More information

(CIP) :. :, 2004 ( ) ISBN 7-5045 - 4705-0... - -. TS974.2 CIP (2004) 102047 ( 1 : 100029) : * 787 1092 16 6.75 167 2004 9 1 2004 9 1 : : 11.00 : 010-6

(CIP) :. :, 2004 ( ) ISBN 7-5045 - 4705-0... - -. TS974.2 CIP (2004) 102047 ( 1 : 100029) : * 787 1092 16 6.75 167 2004 9 1 2004 9 1 : : 11.00 : 010-6 ) ( ) (CIP) :. :, 2004 ( ) ISBN 7-5045 - 4705-0... - -. TS974.2 CIP (2004) 102047 ( 1 : 100029) : * 787 1092 16 6.75 167 2004 9 1 2004 9 1 : : 11.00 : 010-64929211 : 010-64911190 : http: www. class. com.

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

5.3支撑材料.doc

5.3支撑材料.doc 7. 8. 1.2.21 9. 11. 1.3.20 12. 1.3.12 13. 1.2.12 21. 3 1.1.9 1 2 3 1 1. 2. 3 1. 2. 3. 4. : http://wwwold.njusttz.edu.cn/zhaosheng.asp?classid=4 3 2015 3 20 1 2013-2014 2

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

t70.0101gb.fm

t70.0101gb.fm 数 据 单 70.3590 页 码 / JIMAGO 500 / JIMAGO 500 703590/... 5 7 3 0 40 V AC 0 30 V AC/DC COM SETUP/RS4/485 8 50 6 000 4 6 COM RS4/485 ER8 PROFIBUSDP ProfibusDP 5 6 7 ( ) ( ) ( ) 0/5V Modem 0/V ( ) SETUP Windows95/98/NT4.0/000/ME/XP/Vista

More information

用户软件设计手册

用户软件设计手册 DSDPV1 系列芯片用户硬件设计手册 V1.0 北京鼎实创新科技股份有限公司 2016.8 目录 第一章技术指标...1 一 技术指标...1 第二章 DSDPV1-RSU 芯片硬件设计说明... 3 一 外观图...3 二 DSDPV1-RSU 芯片封装 (BGA256)... 3 三 DSDPV1-RSU 管脚定义...4 四 关于推荐电路... 8 1. 获取方式... 8 2. 网址及联系方式...

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information