PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 高速光通讯测试 泰克科技 ( 中国 ) 有限公司

2 主题 采样示波器基础及应用 光信号测试的项目以及要点 如何改善光信号测试的精度, 一致性以及重复性 泰克 10G/25G/40G/100G 的测试方案 高速信号源和误码仪在光通信中的应用 /12/14 高速光通讯测试

3 采样示波器基础 : 采样示波器的特点 高带宽目前, 泰克 DSA8300 示波器的带宽高达 80G+ 高等效采样率泰克 DSA8300 示波器等效采样率高达 1000T 以上 高垂直电压分辨率泰克 DSA8300 示波器的 ADC 分辨率为 16bit 低触发抖动泰克 DSA8300 触发抖动低至 100fs 以下 低本底噪声泰克 80Exx 噪声低至 300uV(RMS) /12/14 高速光通讯测试

4 voltage 采样示波器采样原理 : 需要同步触发信号, 多次触发完成捕获 time Data Mask test Eye patterns are the common result of clock-triggering in Equivalent time sampling: vectors are not drawn since adjacent samples can jump from logical 1 to 0 frequently Clock Precision variable delay A clock trigger can be usersupplied or recovered from the data to trigger the equivalent time sampler When a clock signal is used to trigger the equivalent-time 8300 scope the sampled DATA signals generally create EYE PATTERNS (between clock triggers the sampled DATA could be either a logical 1 or 0) /12/14 高速光通讯测试

5 采样示波器的优势及应用 带宽高达 80GHz 以上进行高速的周期脉冲测试进行高速的串行数据分析 噪声低 高垂直分辨率 (16bit) 进行高速的眼图测试以及噪声分析 超低的触发抖动 ( 使用 82A04B 的模块使触发抖动减少至 100fs) 进行高速的串行数据抖动分析 /12/14 高速光通讯测试

6 光通信基础知识介绍 - 常用光波长 目前, 按照光信号在光纤中的传播方式有单模与多模之分 ; 其波长也不一样 主流的波长有 nm 单模 :9um 光纤直径, 波长多为 nm 多模 :62.5um 光纤直径, 波长多为 nm /12/14 高速光通讯测试

7 Optical standard Standard Line Rate OC-3/STM-1 OC-12/STM-4 FC1063 ENET1250 FC2125 OC48//STM48, GBE, INF2500 FEC2.666!0GBASE-X4, FC3188 FC4250 INF5000 OBSAI6144 CPRI Mb/s 622 Mb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s Gb/s FC8500*8, OC-192/STM-64, 8GFC, 10GBASE-W, 10GBASE-R, 40GBASE-R4, 100GBASE-R10, 10GFC, FEC10.66, FEC10.71, FEC11.10, FC , 9.95,10.31, 10.51,10.66,10.71,11.1, 11.3 Gb/s ORR Filters plus Unfiltered bandwidth path (typically 12 GHz) 100GBase-LR4, 100GBase-ER4 Infiniband EDR (LW) 100GBase-LR4 + FEC, 100GBase-ER4 + FEC 40GBase-FR OC-768 / STM-256, VSR-2000 OTU3 (OC G.709 FEC), VSR-2000, 4x10G LAN-PHY (OTU3) 4 x Gb/s 4 x Gb/s Gb/s Gb/s Gb/s Gb/s /12/14 高速光通讯测试

8 NRZ 和 RZ 两种编码的眼图比较 NRZ 编码眼图, 高功率电平为逻辑 1, 低功率电平为逻辑 0, 在两高低两阶跳变 RZ 编码眼图, 底部有一根线为逻辑 0, 逻辑 1 显示为脉冲 /12/14 高速光通讯测试

9 使用 Tektronix DSA8300 能完成什么测试? 核心测试 眼图测试 抖动测试 幅度域 平均光功率 (AOP) 消光比 (ER) 光调制幅度 (OMA) /12/14 高速光通讯测试

10 使用 Tektronix DSA8300 需要那些配置? 核心测试 光采样模块 ( 滤波器 ) 带有 4 阶 Besel-Thomson 低通滤波器, 且滤波器的带宽为 DUT 数据率的 0.75 倍 时钟恢复单元 / 模块 (CRU) 可以恢复 DUT 数据率相对应的时钟, 且该 CRU 具有带宽为 DUT 数据率 1/1667 的低通滤波器 /12/14 高速光通讯测试

11 光接口物理层测试的几项要求 对时钟恢复 CDR 的要求 对噪声, 灵敏度的要求 对滤波器的要求 对波长的要求 对抖动的要求 ( 最新的 40G/100Gbps 的标准 ) /12/14 高速光通讯测试

12 光接口物理层测试 : 对 CRU 的要求 时钟内嵌于光信号中传输 Tx + + path + + Rcv CDR DATA DATA CLOCK 要求 CDR 的环路带宽为 Data rate/1667 在接收端使用 CDR 电路将时钟恢复出来 /12/14 高速光通讯测试

13 光接口物理层测试 : 对 CRU 的要求 /12/14 高速光通讯测试

14 光接口物理层测试 : 噪声 / 灵敏度要求 在测试小功率光模块或者超长波长光模块时,DUT 的光功率会很小 所以模块的噪声必须很低, 灵敏度很高, 达到一定的信噪比, 测试的结果才能准确, 而显示出来的信号噪声是真正属于信号的, 而不是模块自身的噪声 /12/14 高速光通讯测试

15 光接口物理层测试 : 对滤波器的要求 测试眼图模板时, 需要使用 Optical Reference Receiver 光参考接收器 (O/E + Filter), 信号首先经光电转换, 然后经 4th Order Bessel-Thomson 滤波器过滤, 按业界规范要求, 此滤波器的带宽要是被测信号速率的 0.75 倍 业界测试光接口所使用的 4th Order Bessel-Thosom 滤波器的频响如下 : 不一样的速率, 有不一样的滤波器要求 /12/14 高速光通讯测试

16 光接口物理层测试 : 对波长的要求 目前, 按照光信号在光纤中的传播方式有单模与多模之分 ; 其波长也不一样 主流的波长有 nm 单模 :9um 光纤直径, 波长多为 nm 多模 :62.5um 光纤直径, 波长多为 nm /12/14 高速光通讯测试

17 光通信中的性能参数 :OMA 与 ER 逻辑 1 和逻辑 0: 光功率高的状态是逻辑 1, 反之则为逻辑 0. 光功率常用 :dbm = 10log(Power(mW)/1(mW)) OMA:Optical Modulation Amplitude 逻辑 1 和逻辑 0 光功率差 : OMA=P1-P0 有时也定义成 : P1 1 OMA 2P P0 average P1 1 P0 P1 P0 Paverage 2 ER:Extinction Ratio, 消光比 P1 ER, db 10log P0 P P /12/14 高速光通讯测试

18 光通信中的性能参数 :OMA 与 ER /12/14 高速光通讯测试

19 消光比测试 - 光接口测试的最大难点 工业标准对消光比的描述 (ER) 什么是消光比 (ER) 消光比的重要性 影响消光比测试精度和可重复性的因素 泰克 DSA8300 提高消光比测量的解决方案 - ER Calibrated 标准消光比测量和经过 ER Calibrated 消光比测量结果比较 /12/14 高速光通讯测试

20 消光比的定义 ER (Extinction Ratio) 为光模块发射逻辑 1 时的平均光功率和发送逻辑 0 时的平均光功率之比. 在光眼图的中心的 20% 的位置测量直方图的平均值 Logic level 1 Center 20% of the Eye Histogram Logic level 0 P1 ER, db 10log P0 P P ER 测量结果与逻辑 0 时电平和暗电平的相对值有很多影响 ( 当激光器完全关闭不发光时 ) 很小的 0 电平的变换 / 偏离都会明显的影响消光比的测试结果 /12/14 高速光通讯测试

21 为什么消光比测量结果非常重要? 标准规范中规定了消光比的性能指标以确保网络的互通性 ; 所以对这一领域的各个提供商来说满足规范要求极为重要. 除满足规范要求外还有其他各原因也突显消光比测量的重要性 : 不同的元器件, 模块和系统有不同的设计裕量 良好的消光比性能可以改善误码率, 是考量总体性能和质量的关键测量项目 消光比测量值的波动直接影响产品的一次通过率, 减小产出率和收益 当验货检查结果和提供商不一致时使得工作复杂化 /12/14 Tektronix 高速光通讯测试 V1.10

22 Penalty [db] 消光比应该在什么范围之内呢? ER 可以说明有多少光被浪费 ( 相对暗电平的偏置的光功率没有承载任何信息 ) BER Penalty caused be ER 10 在 ER 小于 5dB 后光功率损耗急剧加大, 也就是说额外还需要光功率 如果 ER 大于 15 或是 18, 对此性能影响非常小 ER [db] 当 ER > 15dB 时对 BER 的改善非常小 That s why standards don t ask for 20 db of ER And, that is why the precision of ER measurements is not critical beyond ER > 15 db /12/14 Tektronix 高速光通讯测试 V1.10

23 第一类误差来源 : 直流偏置校准 (Dark Cal) 垂直偏置中的误差会导致相当大的 ER 误差 * 适当的暗电平电平校准和进行补偿过的光模块测试激光眼图的结果为逻辑 1 电平 200uW 逻辑 0 电平 7uW (ER = 28.6-to-1 or db). * 如果在相同的测试中包含 +2uW 的偏置误差, 那么逻辑 1 电平 202uW 逻辑 0 电平 ; 9uW (ER = 22.4-to-1 or db). 22% 的线性变化, ( 或 db 差别 ) 光模块中的偏置随着温度而变化 *( 即使是很小的温度的改变, 也需要运行暗电平校准或补偿 ) /12/14 Tektronix 高速光通讯测试 V1.10

24 第二类误差来源 - 影响消光比测量精度的其他原因 4 阶 BT 频响在不同频段存在的偏差 中高频段范围 低频失真 (near DC; finite settling time dribble-up ) 群延迟 采样器的低频响应 A/D 的非线性失真 采样示波器模块结构影响 : 滤波器的射频开关引入损耗和失真, 尤其在高频段 无法通过暗电平校准消除 这类误差影响一般都被忽略 在某些光采样示波器中没有直接或是间接的对这一指标进行说明 DUT 的一些特性有可能激化这类误差 /12/14 Tektronix 高速光通讯测试 V1.10

25 泰克的解决方案 : 准确可重复的消光比测量 生成消除第二类误差来源的校准因子 通过专门的高精度消光比校准源提供校准 在工厂完成校准过程并将参数存于模块内 通过 ER Calibrated 测试项提供校准测试结果 ( 同时保留旧的测试项目 ) 能同时提供绝对精度和可重复性测试指标 /12/14 Tektronix 高速光通讯测试 V1.10

26 No. of units 标准消光比和经过校准的消光比测量值分布比较 -DML( 直接调制激光器 ) Raw ER DML at 10.7Gb/s Corrected ER DML Module with ER of >10 db. Typical ER measurement distribution 9.2 to 11.2 db ER Calibrated measurement distribution db ER (db) /12/14 Tektronix 高速光通讯测试 V1.10

27 ER (db) ER uncertainty range (db) 消光比校准后的规格 - 测试重复性 提供业内最优的消光比可重复性测试 经过 ER Calibrated 典型的重复度可达 : +/- 0.6 % (-0.25dB / +0.27dB at 10 db ) db ER repetability db ER repeatability deviation 重复测试值 重复测试偏离度 center ER (db) -4-5 center ER (db) 理想值 理想值 /12/14 Tektronix 高速光通讯测试 V1.10

28 ER (db) ER uncertainty range (db) 消光比校准后的规格 - 测试精度 业内唯一可以提供高精度经过校准的消光比测试 经过 ER Calibrated 绝对精度可以达到 : +/- 1.2 % (-0.49dB /+0. 56dB at 10 db) db ER absolute accuracy db ER absolute deviation 实际测试值 与理想值的偏离度 center ER (db) -4-5 center ER (db) 理想值 理想值 /12/14 Tektronix 高速光通讯测试 V1.11

29 未较准消光比和经过校准的消光比测量值分布比较 You manufacture modules with ER >5.4 db and <6dB. 低成本 VCSEL ( 垂直腔面发射激光器 ) in a TOSA ( 光发射次模块 ) With the You measure your DSA8300 modules with ER many And scopes But your you and customer are get really a typical sees Calibrated shipping this: this: distribution everyone and has rework the rejects. this! Reject Reject /12/14 Tektronix 高速光通讯测试 V1.10

30 泰克的解决方案 : 准确可重复的消光比测量 ( 免费标配功能 ) 去除影响消光比精度的第二类误差源, 改进测试的可重复性和测试精度 通过专门的校准信号源和验证信号源保证校准结果 对于制造企业来说这意味着 : 更好的产出比, 或更长的传输距离, 或更多的裕量 对器件和模块以及模块使用者来说意味着质量和一致性验证更清晰 使用 DSA8300 的 ER Calibrated 增加你竞争力 /12/14 Tektronix 高速光通讯测试 V1.10

31 Measurement Challenges Instrumentation Jitter Instrumentation jitter has two major components random jitter and deterministic jitter. Random jitter when characterizing high performance devices, having the lowest possible instrumentation jitter is critical. Shown below is the amount of instrumentation jitter that would consume 5% of the user s jitter budget at the various standard data rates. Random Jitter Standard Bit Rate (Gb/s) Unit Interval ) 16G Fibre Channel psec 250 fsec PCIe psec 225 fsec 100GBASE-R psec 140 fsec SONET OTU psec 129 fsec (CEI-28G-SR) 40GBASE-FR psec 86 fsec Deterministic Jitter while there are many sources of deterministic jitter, a common source is data dependent jitter which is a strong function of the connection from the DUT to the instrumentation system. Minimizing this interconnect reduces the total instrumentation jitter. 3 3 September 2012 PS207 v0.9

32 Ultra low jitter floor - Clock signal acquired with 82A04B Phase Ref GHz 1.3 V Data Input: 650 mv Jitter: 75 fsec 3 4 September 2012 PS207 v0.9

33 Ultra low jitter floor - Clock signal acquired with 82A04B Phase Ref GHz 430 mv Data Input: 215 mv Jitter: 83 fsec 3 5 September 2012 PS207 v0.9

34 DSA8300 Digital Serial Analyzer DSA8300 Optical Module Portfolio Multi-mode, Broad Wavelength ( nm) Modules 80C07B Supports rates to 2.7 Gb/s, high sensitivity, optional integrated clock recovery 80C08D 80C12B 80C14 New Supports all of the 8/10 Gb/s applications, high sensitivity, optional integrated clock recovery, optional Integrated CR Supports rates from 155 Mb/s 11.3 Gb/s, high sensitivity - data pick-off for external CRU e.g. CR125A Supports rates from 8.5 Gb/s Gb/s, high sensitivity data pick-off for external CRU e.g. CR175A Single-mode, Long Wavelength ( nm) Modules 80C11B 80C10C New New Optical bandwidth to 30GHz, supports 10G bits up to14g+ standards, optional integrated CR Optical bandwidth to 80GHz, supports all 40 and 100 Gb/s (4 x 25 Gb/s) standards, optional CR trigger pickoff for e.g. CR286A CRU, optional high sensitivity photo-receiver for use with external equipment (e.g. for optical BER testing with BERTScope) 30 July 2012, v W

35 NEW 80C10C Up to 80 GHz Optical bandwidth Industry s best noise 25G, 40G and beyond Best signal fidelity, better mask margin and first pass yields Versatility: 80C10C, Option F1 The ONLY single module solution to 100GbE (4x25), OC768, G.709 FEC, OTU3, OTU4 ( Gb/s), and 40GbE in a single module Value: 80C10C Focus Solutions: Option F2 100GbE (4x25) ONLY compliance test solution Performance: 80C10C, Option F3 40 GbE compliance test 80 GHz Optical bandwidth, industry s leading NEW 80C10C Options F1, F2, and F3 Industry Leading, Versatility, Value, and Performance Connectivity options for a complete calibrate system solution Option CRTP Clock Recovery Trigger Pickoff provides Data and Data_ outputs for connectivity to CRU and / or BERTScope (high optical amplitude required for BERTScope) Option HSPR High-Sensitivity Photo Receiver provides independent optical input and electrical outputs that can be used with CRU and / or BERTScope or other equipment 37

36 80C10C, Option F1, F2, and F3 Configurations Next Generation Of Optical Test Optical Bandwidth / Integrated ORR Versatility Value Performance Tektronix 80C10B Opt. F1 1 Tektronix 80C10B Opt. F2 1 Tektronix 80C10C Opt. F3 1 - Max. bandwidth 70 GHz 55 GHz 80 GHz - Selectable bandwidths - 65 & 30 GHz 40Gbs G.709 FEC Telecomm GHz & 44.5 GHz - 40GbE Datacom GHz - OC GHz Telecom GHz - 100GbE-4X FEC Datacom GHz - 100GbE-4X Datacom GHz - Clock Recovery Trigger Pickoff, Option CRTP 2 Available Available Available High-Sensitivity Photo Receiver, Option HSPR 2 Available Available Available 1 Customer must select one from Options F1, F2, or F3 2 Option CRTP and HSPR are mutually exclusive both cannot be ordered in the same 80C10C module. 38

37 10*log(V f /V dc ) (db) fr=0.75*39.813ghz Reference Receiver Repeatability 39.8Gbps C10 Heterodyne Frequency Responses OC768 RR setting test unit #1 test unit #2 test unit #3 test unit #4 test unit #5 test unit #6 test unit #7 test unit #8 test unit #9 test unit #10 test unit #11 test unit #12 test unit #13 test unit #14 upper tolerance ideal nominal lower tolerance previous upper tol. previous lower tol Frequency (GHz) /12/14 高速光通讯测试

38 Superior 40 Gbps Reference Receiver Performance Traditional ITU Filtering Methodology /12/14 高速光通讯测试

39 Superior 40 Gbps Reference Receiver Performance Tektronix Proprietary Filterless Design /12/14 高速光通讯测试

40 New 80C10C Optical Module Unmatched Sensitivity for 100G (4 x 25G) Applications OTU4 transceiver: 3.5 dbm, 1310nm, Gb/s, 9µm SMF New Tektronix 80C10C Alternative Solution 30 July 2012, v W

41 40Gb/s NRZ Eye Diagram(testing at customer side) /12/14 高速光通讯测试

42 80C14 Optical Module 16GFC Single/Multi-mode Solution 80C14 Optical Module Performance Specifications Single and multi-mode Supported wavelengths Maximum Optical Bandwidth Optical Reference Receivers Sensitivity Buffered electrical data pick-off to support external clock recovery instrument 9, 50, 62.5 m core nm 14 GHz All 10 Gb/s standards + 8 and 16 GFC -12 dbm at 850nm (-15 dbm at 1310 nm) Recommended Tektronix CR175A or CR286A Standards Supported Standard Data Rate 8 GFC (old) Gb/s OC192/STM Gb/s 10GBase-W Gb/s 10GBase-R Gb/s 40GBase-LR Gb/s 10G EPON Gb/s 100GBase-SR Gb/s 10GFC Gb/s G.975 FEC Gb/s G.709 FEC Gb/s 10GBE FEC Gb/s 10 GFC FEC Gb/s 12.5 Gb/s FEC Gb/s 16 GFC Gb/s Infiniband FDR Gb/s

43 New 80CXX Optical Module Unmatched Sensitivity for 16G FibreChannel 16GFC SW transceiver: -11dBm, 850nm,14.025Gb/s, 50um MMF New Tektronix 80C14 Alternative Solution Jan. 2011, v. 0.03

44 Mask Test Results 80C14 Has More Mask Margin Tektronix mask has better margin: 33% vs. 17% The power level is above the minimum allowed by the 16GFC standard. A significantly higher power level would lead to a decrease in mask margin difference D Tek 80C14 46

45 Mask test Speed was measured w/o the Remote Desktop resp. VNC ; what is shown in the video on the left is 1 to 2 s slower than measured value (shown on the caption) due to VNC, presumably this problem is due to old CPU and new product would not exhibit this slow-down. The video on the right doesn t exhibit noticeable slow-down. Tek oscilloscope: the Samples counted are really the whole screen; to achieve true 1MS in the mask area the acquisition was set to an increased value of 1.54 MS Run Done: 1MSamples in 32 s Run Done: 1MSsamples in 11.7 s TITL E DATE DCAj 47 Tek DSA8300

46 80C12B Optical Module Tributary and 10G Rate, Single/Multi-mode Solution 80C12B Performance Specifications Single and multi-mode (9, 50, 62.5 m core) Supported wavelengths ( nm) Maximum optical bandwidth 12 GHz Optical Reference Receivers All 125 Mb/s through 11.3 Gb/s standards Buffered electrical data pick-off to support external clock recovery» Recommended clock recovery, Tektronix CR175A or CR125A 80C12B Module with available ER-Calibrated for accurate repeatable ER measurements Accuracy: ±1.2% ( 0.76 db /+0.92 db at 12dB) Repeatability: ±0.6% ( 0.39 db / db at 12 db) Three configuration strategies available: 1. Any 4 Trib. rate filters, options F0 through F12 2. All 10 G rates only (8.5 Gb/s to 11.3 Gb/s filters only), Opt. 10G only 3. Select any 3 Trib rate filters, plus 10GP (10G rates) Filter Opt. F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12 10G 10GP Rate(s) Supported Unfiltered 12 GHz bandwidth and ORR filter Mb/s Optical Reference Receiver (ORR) Filter 622 Mb/s ORR Filter Gb/s ORR Filter Gb/s ORR Filter Gb/s ORR Filter 2.488, Gb/s ORR Filter Gb/s ORR Filter 3.125, Gb/s ORR Filters Gb/s ORR Filter Gb/s ORR Filter Gb/s ORR Filter Gb/s ORR Filter 8.500, 9.95, 10.31, 10.51, 10.66, 10.71, 11.1, 11.3 Gb/s ORR Filters plus Unfiltered full bandwidth path (typically 12 GHz) 8.500, 9.95, 10.31, 10.51, 10.66, 10.71, 11.1, 11.3 Gb/s ORR Filters plus Unfiltered full bandwidth path (typically 12 GHz) specify 3 additional filter options (F1-F12) to be included. 30 July 2012, v W

47 10GbE to100gbe Optical Compliance Testing DSA8300 ALL-IN-ONE Solution Tektronix DSA8300 All-In-One System + 80C12B Optical Module (155 Mb/s to 11.3 Gb/s) + 80C10C-F1 Optical Module (25.7 Gb/s to 44.5 Gb/s) The Only ALL-IN-ONE Solution with: All major ORRs from 155 Mb/s thru 44.5Gb/s Highest repeatability & best sensitivity SMF and MMF support to 12G Up to 3x throughput over alternative 425 fs RMS native jitter 100 fs RMS jitter when equipped with 82A04B Tektronix CR to 28.6 Gb/s, third party to 44.5 Gb/s Integrated clock recovery trigger pickoff Calibrated Extinction-Ratio (ER) Measurements 1 30 July 2012, v W

48 DSA8300 Digital Serial Analyzer TDR and Electrical Module Portfolio TDR / Electrical Modules Electrical TDR Modules Channels Vertical Resolution Bandwidth TDR System Incident Rise Time (10%-90%) TDR System Reflected Rise Time (10%-90%) 80E bits 20 GHz 23 ps 28 ps 80E bits 30 GHz 18 ps 20 ps 80E bits 50 GHz 12 ps 15 ps Electrical Module Electrical Modules Channels Vertical Resolution Bandwidth Risetime (10%-90%) Monolithic or Remote 80E bits 50 GHz 7 ps Monolithic 80E bits 20 GHz 17.5 ps Monolithic 80E bits 70+ GHz 5 ps Monolithic 80E bits 30 GHz 11.7 ps Remote (2 meter) 80E bits 60 GHz 5.8 ps Remote (2 meter) 51

49 BERT Portfolio Lineup BERTScope (BSA) Clock Recovery (CR) Main product Used with BERTs & BERT & Scope Scopes Serial & Communications Jitter measurement New Product Launch Pre-Emphasis (DPP) Add-on to BERTScope Generator to model Standards specific signal pre-emphasis requirements. BitAlyzer Basic BERT & Scope 28.6G 26G 17.5G 12.5G 8.5G 28.6G 17.5G 12.5G 12.5G 1.5G/1.6G 9/ W

50 What is a BERTScope? A Combination BERT and Scope for Computer Bus and Communications Serial Data Applications 1 Pattern Generator (with optional Stress) sends bits, e.g. a PRBS pattern A Typical Receiver Test Setup From Stressed Pattern Generator loopback To Error Detector Device Under Test (DUT) 3 BER measurements also used for scope-like analysis 2 Bits come back from DUT to Error Detector and compared to expected pattern for Bit Error Ratio (BER) measurement.

51 1. Stressed Receiver Tolerance Testing Start Testing Quickly 1 2 From Stressed Pattern Generator 3 loopback 4 To Error Detector Device Under Test (DUT) 1. Recall stressed eye configuration 2. Apply stressed eye signal to DUT s receiver 3. DUT loops received bits back to BERTScope Error Detector 4. BERTScope counts any errors

52 2. Creating the Stressed Signal Dynamically change Data Rate, Stress, Pattern Gb/s PRBS-7 DJ: SJ: RJ: SI: Gb/s PRBS-7 DJ: SJ: RJ: SI: Gb/s PRBS-7 DJ: SJ: RJ: SI:

53 New Product Description BERTScope BSA286C BSA286C High performance BERTScope, offers unique performance and jitter specifications required by the emerging and diverse high speed (100Gbps) COMMS market. Key features: Gbps spec d performance, with non-calibrated performance to 29G. Sub 300fSec Rj Tx noise floor, essential and a unique differentiator for the performance COMMS market. This puts the BSA into one of the lowest jitter BERT s in the market. New MFG Calibration process to guarantee low jitter PG properties and better unit to unit consistency. The only system on the market with continuous (no hole) coverage from 1Gbps to 29.0Gbps. Unmatched versatility and ease of use. Optional full rate error detection (28GED) without the use of external de-mux systems. Support for 32G Fibre Channel, 802.3ba (100GE) and ITU-709 standards Tektronix is now offering a new category of low intrinsic jitter capabilities and versatile debug and measurement capabilities 56

54 Electrical Signal Properties at 28G 10GBASE-CR4 and 10GBASE-CR10 Interference Tolerance Parameters 9/ W

55 10/100G TX/RX optical testing Solutions Tektronix has answers for 100G DSA8300 Sampling Oscilloscope >80GHz Bandwidth <100 fsec jitter noise Pass/Fail at high throughput BUJ-Based Jitter Analysis BSA286C Bit Error Rate Tester 28.6 Gb/sec Data Rate Low intrinsic jitter Stressed, calibrated PRBS31 patterns Error location & Jitter Analysis OM4000 Coherent Lightwave Analyzer DP-QPSK Analysis Constellation Mapping to BER Works with RT or ET Scopes October

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Clock Synthesis, Mux Pulse Recovery, DeMux TOSA ROSA TOSA/ROSA

More information

Microsoft PowerPoint -

Microsoft PowerPoint - Bright Zeng 泰克科技 ( 中国 ) 有限公司 主题 采样示波器基础及应用 光信号测试的项目以及要点 如何改善光信号测试的精度, 一致性以及重复性 泰克 10G/25G/40G/100G 的测试方案 使用 80SJNB 软件进行抖动分析 使用 TDR 模块进行阻抗分析 使用 I-Connect 软件进行 S 参数测试, 眼图仿真, 建模. 2 2010-3-29 采样示波器基础 : 采样示波器的特点

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

PowerPoint Presentation

PowerPoint Presentation Current Status of the 10G-EPON Power Budget and Improvement David Li, Hisense-Ligent dli@ligentphotonics.com Ligent Supporters Bo Wang, China Telecom David Li, Ligent Photonics Frank Chang, Vitesse Corp.

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs FPGA 100G WP-01115-1.1 100G 100G 100G FPGA Altera Stratix IV GT FPGA 40-nm1.3-Gbps 100G 100G Altera Stratix IV GX Arria II GX Arria II GZ FPGAHardCopy ASIC OTN FPGA 100G OTN 2007 2012 IP 6 2012 522 (10

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

2015年4月11日雅思阅读预测机经(新东方版)

2015年4月11日雅思阅读预测机经(新东方版) 剑 桥 雅 思 10 第 一 时 间 解 析 阅 读 部 分 1 剑 桥 雅 思 10 整 体 内 容 统 计 2 剑 桥 雅 思 10 话 题 类 型 从 以 上 统 计 可 以 看 出, 雅 思 阅 读 的 考 试 话 题 一 直 广 泛 多 样 而 题 型 则 稳 中 有 变 以 剑 桥 10 的 test 4 为 例 出 现 的 三 篇 文 章 分 别 是 自 然 类, 心 理 研 究 类,

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Tektronix, Inc.

Tektronix, Inc. 从 10M 到 100G 的通讯标准全面解决方案 泰克科技 ( 中国 ) 有限公司张晓 Tim.Zhang@tektronix.com High Speed Network Infrastructure 2 11/1/2012 从 10M 到 100G 的通讯标准全面解决方案 Agenda Tektronix Ethernet Solution Overview SFP-TX&SFP-WDP SFP+

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

从 10M 到 100G 的通讯标准全面解决方案 泰克科技 ( 中国 ) 有限公司张晓 Tim.Zhang@tektronix.com High Speed Network Infrastructure 2 4/7/2013 从 10M 到 100G 的通讯标准全面解决方案 Agenda Tektronix Ethernet Solution Overview SFP-TX&SFP-WDP SFP+

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

GC-APPI-MasCom

GC-APPI-MasCom GC-APPI Interface MasCom Technologies Founded in January 1991 as MasCom Analysengeräte Service GmbH Office at Sophie-Germain-Str. 4, 281 Bremen, Germany 18 Employees 6 Electronic engineers 4 Precision

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis

Microsoft PowerPoint - CH 04 Techniques of Circuit Analysis Chap. 4 Techniques of Circuit Analysis Contents 4.1 Terminology 4.2 Introduction to the Node-Voltage Method 4.3 The Node-Voltage Method and Dependent Sources 4.4 The Node-Voltage Method: Some Special Cases

More information

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22...

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22... Keysight Technologies /...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22....22...22...22.........................

More information

THE APPLICATION OF ISOTOPE RATIO ANALYSIS BY INDUCTIVELY COUPLED PLASMA MASS SPECTROMETER A Dissertation Presented By Chaoyong YANG Supervisor: Prof.D

THE APPLICATION OF ISOTOPE RATIO ANALYSIS BY INDUCTIVELY COUPLED PLASMA MASS SPECTROMETER A Dissertation Presented By Chaoyong YANG Supervisor: Prof.D 10384 070302 9825042 UDC 2001.6. 2001.7. 20016 THE APPLICATION OF ISOTOPE RATIO ANALYSIS BY INDUCTIVELY COUPLED PLASMA MASS SPECTROMETER A Dissertation Presented By Chaoyong YANG Supervisor: Prof.Dr. Xiaoru

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Microsoft PowerPoint _代工實例-1

Microsoft PowerPoint _代工實例-1 4302 動態光散射儀 (Dynamic Light Scattering) 代工實例與結果解析 生醫暨非破壞性分析團隊 2016.10 updated Which Size to Measure? Diameter Many techniques make the useful and convenient assumption that every particle is a sphere. The

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

mm 5 1 Tab 1 Chemical composition of PSB830 finishing rolled rebars % C Si Mn P S V 0 38 ~ 1 50 ~ 0 80 ~ ~

mm 5 1 Tab 1 Chemical composition of PSB830 finishing rolled rebars % C Si Mn P S V 0 38 ~ 1 50 ~ 0 80 ~ ~ PSB830 365000 32 mm PSB830 PSB830 TG 335 64 A Productive Practition of PSB830 Finishing Rolled Rebars PAN Jianzhou Bar Steel Rolling Minguang Co Ltd of Fujian Sansteel Sanming 365000 China Abstract High

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

幻灯片 1

幻灯片 1 Bring Shopper Research Into Category Solution Content What is the difference between Shopper Research and Consumer Research? Bring Shopper Research into Category Solution Case Share Page 2 Shopper Research

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PowerPoint Presentation

PowerPoint Presentation Decision analysis 量化決策分析方法專論 2011/5/26 1 Problem formulation- states of nature In the decision analysis, decision alternatives are referred to as chance events. The possible outcomes for a chance event

More information

OPT-243 urse Number OPT-240 SDH DWDM CWDM : : UV IR 125 GHz/nm l (UV) (IR) 850 nm 850, 1310, 1550 nm 980, 1480, 1625 nm 980 nm 1310 nm 1480 nm 1550 nm C=ƒ x λ 1625 nm Wavelength: λ (nanometers) Frequency:

More information

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065>

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065> 下一代高速串行系统测试解决方案 BERTScope The Vision of Oscilloscope, the Confidence of BERT 日程下一代高速串行系统测试解决方案 -BERTScope 高速串行技术发展趋势和潜在挑战 BERTScope 系列产品介绍 BERTScope "BASIC" 基本功能 (BER Measure) 信号分析 (Analysis) 压力测试 (Stressed

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

untitled

untitled Bussmann 电路保护解决方案 Circuit Protection Solutions General Introduction Bussmann... innovative circuit protection Circuit Overload...Electrical and electronic systems can experience it. And so can people.

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Microsoft Word - A200810-897.doc

Microsoft Word - A200810-897.doc 基 于 胜 任 特 征 模 型 的 结 构 化 面 试 信 度 和 效 度 验 证 张 玮 北 京 邮 电 大 学 经 济 管 理 学 院, 北 京 (100876) E-mail: weeo1984@sina.com 摘 要 : 提 高 结 构 化 面 试 信 度 和 效 度 是 面 试 技 术 研 究 的 核 心 内 容 近 年 来 国 内 有 少 数 学 者 探 讨 过 基 于 胜 任 特 征

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

Microsoft PowerPoint - AWOL - Acrobat Windows Outlook.ppt [Compatibility Mode]

Microsoft PowerPoint - AWOL - Acrobat Windows Outlook.ppt [Compatibility Mode] AWOL Windows - Tips & Tricks Resolution, color depth & refresh rate Background color Service packs Disk cleanup (cleanmgr) Disk defragmentation AWOL Windows Resolution, Color Depth & Refresh Rate The main

More information

UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 厦门大学博硕士论文摘要库

UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 厦门大学博硕士论文摘要库 10384 15620071151397 UDC Empirical Researches on Pricing of Corporate Bonds with Macro Factors 2010 4 Duffee 1999 AAA Vasicek RMSE RMSE Abstract In order to investigate whether adding macro factors

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

The Development of Color Constancy and Calibration System

The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System The Development of Color Constancy and Calibration System LabVIEW CCD BMP ii Abstract The modern technologies develop more and more faster, and

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

一次辽宁暴雨过程的诊断及风场反演分析

一次辽宁暴雨过程的诊断及风场反演分析 Climate Change Research Letters 气 候 变 化 研 究 快 报, 2013, 2, 139-146 http://dx.doi.org/10.12677/ccrl.2013.24024 Published Online October 2013 (http://www.hanspub.org/journal/ccrl.html) Analysis of the Diagnosis

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud 02 Keysight N1090A N1092A/B/C/D/E N1094A/B DCA-M DCA...03 N109X...04 N1090A...07 N1092/4...08 N1090A... 10 N1090A EEC... 12 N1090A...

More information

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 介 绍 PCI Express 测 量 目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 定 时 测 量...9 抖 动 测 量...10 实 时 眼

More information

卡尔费休库仑滴定仪和水蒸发器测量卡氏炉标准物质

卡尔费休库仑滴定仪和水蒸发器测量卡氏炉标准物质 Certificate of Ana lysis Apura Certified Reference Material Producer: Accreditation: Description of CRM: Lot No.: Composition: Merck KGaA, Frankfurter Str. 250, 64293 Darmstadt, Germany. Merck KGaA, Darmstadt,

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

Microsoft Word - AN3730CRev7PR2.doc

Microsoft Word - AN3730CRev7PR2.doc 参 考 设 计 : HFRD-19.2 Rev. 7; 11/08 自 2008 年 7 月 起, 将 不 再 提 供 该 参 考 设 计 的 电 路 板 如 需 Gerber 文 件 和 原 理 图, 请 提 交 申 请 参 考 设 计 850nm XFP 收 发 器 目 录 1. 概 述... 2 2. 获 取 更 多 信 息...2 3. 参 考 设 计 详 细 说 明... 3 4. 推 荐

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

HC70245_2008

HC70245_2008 Reliability Laboratory Page: 1 of 6 Date: September 5, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

WTO

WTO 10384 200015128 UDC Exploration on Design of CIB s Human Resources System in the New Stage (MBA) 2004 2004 2 3 2004 3 2 0 0 4 2 WTO Abstract Abstract With the rapid development of the high and new technique

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

ADLINK Company Profile

ADLINK Company Profile 轻 松 构 建 精 准 高 效 的 电 子 产 品 功 能 测 试 解 决 方 案 王 小 龙 Leon Wang 业 务 拓 展 经 理 测 试 与 自 动 化 产 品 电 子 产 品 的 进 化 6 英 寸 超 大 2K 高 清 屏 HIFI 级 音 频 2100W 像 素 摄 像 头 七 模 十 九 频 全 网 通 多 点 全 功 能 触 控 重 力 感 应 / 红 外 距 离 感 应 / 陀

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi

Concept of Hyper Kamiokande (20 times Super K) 48m x 50m x 250m x 2 Total mass ~ 1 Mton Photocathode coverage ~40% of surface ~200,000 PMTs => prohibi R&D of a Large Format Hybrid Photo-Detector (HPD) for a Next Generation Water Cherenkov Detector Tokyo - HPK joint R&D program H.Aihara University of Tokyo HPK =Hamamatsu Photonics 1 presented at Next

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

untitled

untitled Co-integration and VECM Yi-Nung Yang CYCU, Taiwan May, 2012 不 列 1 Learning objectives Integrated variables Co-integration Vector Error correction model (VECM) Engle-Granger 2-step co-integration test Johansen

More information

DSA8300 采样示波器 80C00 光接口模块产品技术资料

DSA8300 采样示波器 80C00 光接口模块产品技术资料 DSA8300 采样示波器 80C00 光接口模块产品技术资料 主要特点 10 Gb/s 电信和数据通信 高度精确的 ER Calibrated( 消光比 ) 测量选项, 提高 测量的可重复性和可转移性 泰克 80C00 光接口采样模块安装在 DSA8300 数字串行分析 仪采样示波器中时 1, 为电信应用 (125 Mb/s - 44.50 Gb/s) 和 数据通信应用 ( 千兆位以太网 10 GbE

More information

2

2 1 2 3 4 PHY (RAN1) LTE/LTE-A 6.3 Enhanced Downlink Multiple Antenna Transmission 6.3.1 CSI RS 6.4 Uplink Multiple Antenna Transmission 6.4.1 Transmission modes and Signalling requirements for SU-MIMO 6.5

More information