Microsoft Word - AN4794C

Size: px
Start display at page:

Download "Microsoft Word - AN4794C"

Transcription

1 参考设计 : HFRD-49.1 Rev 0; 8/10 多速率 Gbps 至 Gbps SFP+ LR 收发器

2 多速率 Gbps 至 Gbps SFP+ LR 收发器 目录 1 概述 2 2 参考设计详细说明 2 3 性能参数 4 4 评估步骤 9 5 SFP+ 评估软件 10 6 信号定义 18 7 元件列表 19 8 电路板布局 20 9 板层剖面 22 1 概述高频参考设计 (HFRD-49.1) 为光收发器, 在小外形可插拔 (SFP+) 设计中用于演示 MAX3946 激光驱动器 MAX3945 限幅放大器以及 DS1878 控制器的功能 MAX3946 优化用于驱动差分光发射组件 (TOSA), 包含 DFB 或 FP 激光器, 通过 25Ω 柔性电路连接 输出级的独特设计成就了无与伦比的 TOSA 方案, 有效降低空间限制并具有更低功耗 MAX3945 限幅放大器标准要求严格的设计, 工作在 1.25Gbps 或 Gbps 数据速率 所有差分输入和输出 (I/O) 优化于 50Ω 传输线印制板 (PCB) 端接背板 MAX3945 的双通道限幅放大器具有可编程滤波器, 针对不同的数据速率进行优化, 抑制光传输系统可能产生的振荡 MAX3945 提供两路信号丢失检测 (LOS) 电路和可编程时间屏蔽, 用于 LOS 输出指示 Maxim 的 DS1878 状态机控制器提供 3 线接口, 连接 MAX3945 和 MAX3946 通过 DS1878 的标准 MSA I 2 C 接口与 SFP+ 模块通信 DS1878 提供所有必要的诊断功能和寄存器, 支持 SFF-8472 设计 2 参考设计详细说明 激光驱动器特性 : 1. 峰值整形 2. 脉宽调理 3. 偏置与调制控制 4. 输入均衡 5. 驱动器背向端接 6. 全差分驱动 7. 调制电流高达 80mA 8. 故障检测功能 限幅放大器特性 : 1. 可调节输出 CML 电平 2. 输入带宽选择 3. 输出预加重使能 4. 5mV 输入灵敏度 5. LOS 极性设置 / 禁止 6. 可编程 LOS 电平 请参考 MAX3945 和 MAX3946 数据资料, 获取更多信息, 可从以下网站下载 : china.maxim-ic.com/max3945 和 china.maxim-ic.com/max3946 本参考设计已配合 NEC TOSA 模块 NX8346TY 和 NEC ROSA NR3312 通过评估测试 Page 2 of 22

3 图 1. HFRD-49.1 原理图 Page 3 of 22

4 3 性能参数 (TOSA:NX8346TY;ROSA:NR3312) 表 1. 光发送器输出眼图 数据源 :Anritsu 数据模板 : PRBS 速率 : Gbps 电平 :0.5V diff P-P 功率 : 约 -2dBm ER: 约 5dB 去加重 :3% 输入均衡设置 :4 至 6,450mV 至 700mV 接收器参考 :86105C 10 C 30 C 50 C 70 C 85 C Page 4 of 22

5 Agilent 86105C Tektronix 80C12-10G 图 2. Agilent 和 Tektronix 测试系统模板裕量比较 70 NX8346TY: Mask Margin vs. Mask Hit Rate 10,000 Waveforms 60 Margin [%] Agilent 86105C TEK 80C12-10G 0 1.E-08 1.E-07 1.E-06 1.E-05 1.E-04 1.E-03 1.E-02 图 3. 光发送器模板裕量与模板命中率 Mask Hit Rate Page 5 of 22

6 表 2. 接收器输出眼图(SET_CML = 200) Gbps 231-1, 0dBm, bandwidth 9GHz 1.25Gbps 27-1, 0dBm, bandwidth 1GHz Gbps 231-1, -15dBm, bandwidth 9GHz 1.25Gbps 27-1, -20dBm, bandwidth 1GHz Gbps 231-1, -20dBm, bandwidth 9GHz 1.25Gbps 27-1, -25dBm, bandwidth 1GHz Page 6 of 22

7 Bias and Modulation Current Power Monitor and Extinction Ratio Current [ma] *Log(IPD) [db] IPD Monitor Extinction Ratio [db] 10 Bias Current Modulation Current -5 Extinction Ratio Temperature [C] Temperature [C] 图 4. 偏置与调制电流 图 5. 功率监测与消光比 1200 Power Consumption 1.E-03 BER Curves 1.E E E-06 Power [mw] E-07 1.E-08 1.E-09 1.E-10 1.E Gbps 2^ Gbps 2^ Temperature [C] 1.E Ave Power dbm [Er~5dB] 图 6. 功耗 图 7. 接收机 BER 性能 Page 7 of 22

8 0 SDD Input 0 SDD Output Level [db] Level [db] SDD_Output -30 SDD_Input -30 Spec -35 Spec Frequency [MHz] Frequency [MHz] 图 8. I/O 回波损耗 ( 包括电缆 主板 连接器和模块 ) Transmit Disable Transmit Disable Optical Output 200 usec Optical Output 200usec ISTEP = 7, APC_SR = 000 图 9. 发送使能 / 禁止时序 Page 8 of 22

9 4 评估步骤 可以在任何主板上评估 HFRD-49.1, 但是, 为了充分利用 Maxim 提供的 GUI 的优势, 推荐使用 Maxim 的主板 HFRD-30.1 ( 关于主板的详细信息, 请参考 HFRD-30.1 参考设计 : nce_designs/) 图 10 给出了主板和相关连接, 与激光二极管配合使用时, 需严格注意安全操作 激光辐射可能导致人身伤害, 损伤眼睛 Maxim 不对由于使用该参考设计造成的损失 伤害作出赔偿 用户有责任安全操作本参考设计 SFP+ TEST SETUP BLOCK DIAGRAM Computer USB Interface Pattern Generator, BERT, Serializer, Deserializer or FPGA Received Data Transmit Data SMA SMA SMA SMA 20- Pin SFP SFP+ Host Board (HFRD-30.1) HFRD-49.1 Fiber Fiber Optical Test Equipment Power Supply 图 10. HFRD-49.1 和 HFRD-30.1 主板 PCB Page 9 of 22

10 1) 出货时, 模块上可以安装或者不安装 NEC TOSA 和 ROSA 如果是由用户提供 NEC 光组建, 必须在进行任何处理之前首先安装好这些组件 请参考本文当第 2 页照片上的方位安装 TOSA 和 ROSA 2) 从主板开始, 通过等长的高速 SMA 同轴电缆或匹配的 SMA 电缆对在 Tx 数据输入端连接一个差分模板发生器 模板发生器的输出电平应该设置在 0.5V 标称差分电压 3) 将主板的 Rx 数据 SMA 输出连接到示波器或由模板发生器触发的 BERT 4) 将一台计算机连接到主板的 USB 口, 在该计算机上安装随参考设计提供的 GUI 软件 5) 把电源连接到主板的 3.3V 端子, 电源电流限制在 300mA, 不要打开电源 6) 将 HFRD-49.1 模块插入主板 7) 仔细地将单模光纤电缆插入 TOSA 套管内 ; 注意不要压坏 TOSA 柔性接头 如果偶然移动光纤, 应确保光缆不会损坏 TOSA 8) 通过光电转换器或者光插件模块 ( 例如 : Agilent 86105C), 将光纤的另一端连接至高速示波器 光电转换器应具有足够的带宽, 以支持工作比特率, 并且可以探测 1310nm 波长 注 : 设计中使用的 NEC TOSA 能够产生 1mW 的光功率, 如果光功率超出了光电器件输入功率的额定值, 则需加入衰减 9) 查看接收器输出时, 在主板差分输出和示波器之间连接等长高速 SMA 同轴电缆 10) 将单模光纤连接到 ROSA, 按照上面第 7 步中介绍的措施, 仔细进行操作 11) 通过光衰减器将光纤的另一端连接至高速 1310nm 光源 12) 主板加电, 禁止发送器操作, 吸收电流应小于 150mA 13) 在没有运行 GUI 时, 为使能光输出, 将主板上的 Tx 禁止测试点连接至地 应能够在示波器上观察到光发送眼图 如果用户已经安装了 TOSA, 则必须调整工作点 ( 偏置 ) 和激光器的调制, 以获得所需要的输出电平 可以按照第 5 节介绍的指令步骤进行调整 14) 还应测试接收器输出和 LOS 如果采用外部参考发送器作为光源来测试接收器, 将激活输出电眼图 主板上的速率选择端 ( 引脚 7) 被上拉至高电平, 使接收器设置在最大宽带, 降低增益 5 SFP+ 评估软件 用户可通过 GUI 访问并修改 DS1878 MAX3945 和 MAX3946 的设置 GUI 还显示几项监测功能 : 温度 V CC 激光监测器电平 偏置电流 RSSI 电平 所显示的 V CC 温度 偏置电流和监测电流电平均为校准后的状态,RSSI 电平未经校准, 显示电平单位为毫伏 用户可以访问适当的 DS1878 寄存器, 校准 RSSI 以指使接收功率 也可以编程报警门限电平, 通过调整 DS1878 的校准系数, 可以将 TOSA 监测电平 (µa) 转换成 TOSA 发射功率 (µw) Page 10 of 22

11 5.1 GUI 操作 1. 按照第 4 节列出的步骤准备模块并进行测试, 首先关闭模块电源 2. 打开 GUI,DUT 没有供电 屏幕上将显示以下内容 Page 11 of 22

12 打开 DUT 电源 4. 按下 USB Connect 按键, 1 应出现上述截屏 5. 确认 Tx 禁止, 2 ( 触发 TX- 使能 / 禁止按键 ) Page 12 of 22

13 选择 MAX3946 标签 3 7. 选择标准工作模式 4 主发送器控制如右下方所示 : 5 Tx 极性 : 未选中,Tx 极性反相 Tx 脉冲宽度 : 设置 MAX3946 的占空比脉冲宽度 Mod 最大值 : 设置 MAX3946 可接受的最大调制电流 最大偏置 : 设置 MAX3946 可接受的最大偏置电流 Mod 值 : 设置调制电流 ( 选择手动调制模式时 ) 偏置值 : 设置偏置电流 ( 选择手动调制模式时 ) 输出去加重 : 设置 MAX3946 输出去加重 ( 四种选择 ) 输出均衡器 : 使能输入均衡器并进行设置 ( 四种选择 ) 5 Page 13 of 22

14 7 6 8 触发 TX 禁止 / 使能按键, 开启激光器 6 注 : 出厂时, 最大偏置设置在大约 100 ( 约 45mA), 该设置在没有正确调整 APC 电平时有助于避免损坏用户安装的 TOSA 利用 NEC NX8346TY TOSA 设置 测试 APC 电平 调制和激光器偏置电流的灰度输出应该显示在 Mod 值和偏置电流值栏内 7 Page 14 of 22

15 选择主表, 则出现上述截屏 监测数值显示在右上方 : 8 温度 : 由 DS1878 测量 电源电压 : 由 DS1878 测量 偏置电流 : 由 DS1878 监测的 MAX3946 偏置 Mon 引脚 TX PD 电流 : DS1878 Mon2 电压 RSSI 电平 : DS1878 Mon3 ( 以地和 V CC 为参考点 ) APC 和调制设置显示在右下方 : 9 模式 : 选择闭环 APC 或开环模式 APC 环路 : 用于设置 APC 查找表的 LUT 调制电流 : 用于设置调制电流查找表的 LUT 10. 针对特定的激光器设置 APC 电平, 选择 LUT 10 9 Page 15 of 22

16 弹出上述窗口, 编程整个温度范围内保持恒定的 APC 数值, 完成下列操作 : 10.1 输入所要求的数值 点击选择全部 点击填写 关闭弹出的窗口 按照上面的步骤 10 配置调制查找表 Page 16 of 22

17 配置 MAX3945, 选择 MAX3945 表 15 接收器通用控制包括 :16 使能 Rx 控制 : 开启 MAX3945 输出 使能 Rx 自动归零控制 : 开启消失调功能 数据通道控制包括 :17 30ps 摆率 : 输出摆率调节 输出电平 : 设置 CML 输出电平至 256 输出滤波带宽 : MAX3945 输入端的滤波器带宽 输出去加重 : MAX3945 输出端 LOS 控制输入包括 : 18 LOS Th (RS = 低电平 ): 设置对应于速率选择为 0 时的门限 LOS Th (RS = 高电平 ): 设置对应于速率选择为 1 时的门限 Page 17 of 22

18 6 信号定义 Connector Pin I/O Type Name Definition 1, 17, 20 VEET Module transmitter ground (Note 4) 2 LVTTL Output 3 LVTTL Input 4 LVTTL Input Output TX_FAULT TX_DISABLE Transmitter fault output (Note 1); the transmitter is disabled when TX_FAULT is asserted. Transmitter disable input; the transmitter is disabled when TX_DISABLE is asserted. MOD-DEF2 A 2-wire serial interface, bidirectional data line (Note 1) LVTTL Input MOD-DEF1 A 2-wire serial interface, clock line (Note 1) LVTTL Output LVTTL Input LVTTL Output LVTTL Input MOD-DEF0 RATE SEL1 LOS RS0 Pin is pulled low by the SFP+ module to indicate to the host controller that a module is present (Note 1). Optional bandwidth selection input Receiver loss-of-signal output (Note 1); output is high when receiver input signal is below the set threshold (Note 2). Optional bandwidth selection input (not used in HFRD-49.1) 10, 11, 14 VEER Module receiver ground (Note 4) 12 Output RD- Inverted received data output, AC coupled inside the SFP+ module 13 Output RD+ Noninverted received data output, AC coupled inside the SFP+ module 15 VCCR 16 VCCT 3.3V receiver power-supply connection; can be internally connected to VCCT inside the SFP+ module (Note: 3). 3.3V transmitter power-supply connection; can be internally connected to VCCR inside the SFP+ module (Note: 3). 18 Input TD+ Inverted transmit data input, AC coupled inside the SFP+ module 19 Input TD- Noninverted transmit data input, AC coupled inside the SFP+ module 注 1: 集电极开路输出 这些引脚必须在主板上通过 4.7kΩ 至 10kΩ 电阻拉高 (2.95V 至 3.65V) 注 2:HFRD-49.1 中, 可根据需要设置 LOS 反相 注 3:VCCR 和 VCCT 在 HFRD-49.1 内部连接在一起 注 4:VEER 和 VEET 在 HFRD-49.1 内部连接在一起 Page 18 of 22

19 7 元件列表 Reference Qty Value Description C1 C3 C8 C10-13 C uF CHIP CAPACITOR (0201) C15 1 DNI CHIP CAPACITOR (0201) C pF CHIP CAPACITOR (0201) C17 C20-21 C23 C25 C uF CERAMIC CAPACITOR (0402) C2 C4 C18 C uF CERAMIC CAPACITOR (0402) CERAMIC CAPACITOR (0204) AVX LG124C104MAT2S1, ALT PART (TAIYO YUDEN JWK105BJ104MP-F) C5-6 C35 C uF C uF CERAMIC CAPACITOR (0402) C uF CHIP CAPACITOR (0201) C7 C19 C uF CERAMIC CAPACITOR (0402) C9 C pF CERAMIC CAPACITOR (0402) L1 L5 L7-8 4 CHIP BEAD, MURATA BLM15HG102SN1 L10 L4 (note 1) 2 CHIP BEAD, MURATA BLM15GG471SN1D L uH Yuden inductor, LBMF1608T470K L uH Yuden LBMF1608T100K L2 L11 2 CHIP BEAD, MURATA BLM15BD601SN1 L6 L13 (note 1) 2 LQW15AN19HJ00 R1 1 1k RESISTOR (0402) R12 R RESISTOR (0402) R13 R k CHIP RESISTOR (0201) R4 R15 R17 R25 R26 2 DNI CHIP RESISTOR (0201) R16 R k CHIP RESISTOR (0201) R19 R CHIP RESISTOR (0201) R2-3 R8-11 R k RESISTOR (0402) R k RESISTOR (0402) R6 R14 R CHIP RESISTOR (0201) R7 R CHIP RESISTOR (0201) U1 1 DS1878, SFP+ Controller with Digital LDD Interface U10 1 Current Mirror, NXP BCM857BV U2 1 MAX3946ETG+ U3 1 MAX3945ETE+ U4 1 ROSA (USER SUPPLIED) U5 1 PMOS TRANSISTOR FAIRCHILD FDN302P U6 1 TOSA (USER SUPPLIED) 注 1: 数值取决于 TOSA 用户可以自行决定, 把他们的 TOSA 发送给 Maxim Maxim 将对元件值进行调整, 以获得最佳眼图裕量 有些 TOSA, 可能需要其它元件 ( 没有给出 ) 来改善眼图性能 Page 19 of 22

20 8 电路板布局 图 11. 电路板布局, 顶层 第 1 层 图 12. 电路板布局, 地层 第 2 层 图 13. 电路板布局, 信号线和 V CC 第 3 层 Page 20 of 22

21 图 14. 电路板布局, 信号线 第 4 层 图 15. 电路板布局,V CC 层 第 5 层 图 16. 电路板布局, 底层 第 6 层 Page 21 of 22

22 9 板层剖面 HFRD-49.1 采用阻抗受控的传输线, 板层剖面如图 17 所示 假设介电常数为 4.3 Layer oz 6 mil 6 mil 6mil 23 mil pre-preg 4.5mil Layer oz Core 4mil Layer oz pre-preg as needed Layer oz Core 4 mil Layer oz pre-preg 4.5 mil Layer oz 图 17. HFRD-49.1 板层剖面 Agilent 是 Agilent Technologies, Inc. 的注册商标和注册服务标志 Tektronix 是 Tektronix, Inc. 的注册商标和注册服务标志 Page 22 of 22

Microsoft Word - AN3730CRev7PR2.doc

Microsoft Word - AN3730CRev7PR2.doc 参 考 设 计 : HFRD-19.2 Rev. 7; 11/08 自 2008 年 7 月 起, 将 不 再 提 供 该 参 考 设 计 的 电 路 板 如 需 Gerber 文 件 和 原 理 图, 请 提 交 申 请 参 考 设 计 850nm XFP 收 发 器 目 录 1. 概 述... 2 2. 获 取 更 多 信 息...2 3. 参 考 设 计 详 细 说 明... 3 4. 推 荐

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - Trendsil OB9C3A product brief v2.0.doc

Microsoft Word - Trendsil OB9C3A product brief v2.0.doc +3.3V, 155 至 300Mbps 百兆收发合一光模块芯片 概述 百兆收发合一光模块芯片集成了限幅放大器 (LA) 和激光驱动器 (LD) 两种功能 由于其高集成度和纤薄的 QFN24L(24 引脚,4mm x 4mm) 封装, 所以极大地简化了光模块上的外围元器件和 PCB 设计, 为百兆光模块提供了业界高性能 低成本 精简至近乎极致的解决方案 可广泛应用于百兆光收发器模块中, 包括 1x9

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

Application Note:

Application Note: 参考设计 : HFRD-14.0 Rev. 11; 11/08 参考设计 多速率 (1Gbps 至 4.25Gbps) 850nm 小封装可插拔 (SFP) 收发器 高频参考设计 参考设计 : 多速率 (1Gbps 至 4.25Gbps) 850nm SFP 收发器 目录 1. 概述... 2 2. 获取更多信息... 2 3. 参考设计详细资料... 3 4. 功能框图... 5 5. 推荐工作条件...

More information

Application Note:

Application Note: 参考设计 : HFRD-26.0 Rev. 8; 11/08 自 2008 年 7 月起, 将不再提供该参考设计的电路板 如需 Gerber 文件和原理图, 请提交申请 参考设计 GEPON SFF 主板 参考设计 :GEPON SFF 主板 目录 1. 简介... 2 2. 获取更多信息... 2 3. 参考设计详细信息... 3 4. 应用信息... 4 5. 评估板快速入门... 5 6. I/O

More information

订购信息 型号描述拉环颜色 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE

订购信息 型号描述拉环颜色 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE MODULETEK: SFP-GE-CWDM-xxxx-38DB-C10 1.25Gb/s SFP( 小型可插拔 ) CWDM(1470nm-1610nm) 光收发模块 产品简介 ModuleTek 的 SFP-GE-CWDM-xxxx-38DB-C10 CWDM SFP 光收发模块设计用于千兆以太网和光纤通道网络设备, 可以提供包括 1470nm 到 1610nm 的 8 种不同 CWDM 波长

More information

SFP10-BIDI-T1330/R KM-C10 数据手册 数据手册 MODULETEK: SFP10-BIDI-T1330/R KM-C10 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP10-BIDI

SFP10-BIDI-T1330/R KM-C10 数据手册 数据手册 MODULETEK: SFP10-BIDI-T1330/R KM-C10 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP10-BIDI MODULETEK: 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP+ 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-8431 标准, 为 10G 以太网应用提供快速可靠的接口, 通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472 的标准 产品特性支持速率 :9.83Gb/s 至 11.3Gb/s

More information

SFP10-SR-C11 数据手册 数据手册 MODULETEK: SFP10-SR-C11 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP10-SR-C11 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-84

SFP10-SR-C11 数据手册 数据手册 MODULETEK: SFP10-SR-C11 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP10-SR-C11 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-84 MODULETEK: 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-8431 标准, 为 10G 以太网应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472 的标准, 兼容 CISCO 的 FET-10G 模块 产品特性支持速率 :9.83Gb/s

More information

MAX3735/3735A DS.C

MAX3735/3735A DS.C 19-2529; Rev 2; 7/04 2.7Gbps SFP +3.3V 155Mbps 2.7Gbps SFP/SFF SFP MSA SFF-8472 (APC) 10mA 60mA ( 85mA) 1mA 100mA FP/DFB DS1858 SFP IC APC MAX3735A -40 C +85 C SFP/SFF 1G/2G SFP/SFF OC3 OC48-FEC SFP/SFF

More information

SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 Modu

SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 Modu 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 1.25Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 ModuleTek 的 SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 SFP-BIDI 光收发模块, 根据小型可插拔多源协议 (MSA)SFF-8074i 和 SFF-8472,

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

SFP-GE-BIDI-20KM-T1490/R1310-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-20KM-T1490/R1310-LC-C Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 Modu

SFP-GE-BIDI-20KM-T1490/R1310-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-20KM-T1490/R1310-LC-C Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 Modu MODULETEK: 1.25Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 ModuleTek 的 SFP-BIDI 光收发模块基于千兆以太网 IEEE 802.3 标准和光纤通道 FC-PI-2 Rev7.0, 为 GE/FC 应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 且符合小型可插拔多源协议 (MSA) 和 SFF-8472

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

MAX3737 DS.C

MAX3737 DS.C 19-2818; Rev 2; 7/04, +3.3V, 155Mbps 2.7Gbps,, (ERC) (APC) APC, 5mA 60mA ( 85mA) 100mA, FP/DFB () (TX_FAULT),, APC SFF-8472 SFP MSA 32 5mm x 5mm QFN QFN, -40 C +85 C OC-3 OC-48 FEC SFF/SFP GBIC 1Gbps/2Gbps

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

订购信息 型号描述拉环颜色 SFP10-DWDM-ER-xx.xx-I-C10 10 Gigabit DWDM SFP+ 光收发模块, 单模光纤上可传输 40km (ITU 100GHz Grid) 红色 更多信息请联系 : 深圳市摩泰光电有限公司深圳市福田保税区黄槐道 3 号深福保科技工业园 B

订购信息 型号描述拉环颜色 SFP10-DWDM-ER-xx.xx-I-C10 10 Gigabit DWDM SFP+ 光收发模块, 单模光纤上可传输 40km (ITU 100GHz Grid) 红色 更多信息请联系 : 深圳市摩泰光电有限公司深圳市福田保税区黄槐道 3 号深福保科技工业园 B MODULETEK: SFP10-DWDM-ER-xx.xx-I-C10 工业温度 10G DWDM 40km SFP+ 光收发模块 产品简介 ModuleTek 的 SFP10-DWDM-ER-xx.xx-I-C10 DWDM SFP+ 光收发模块基于 10G 以太网和 SFF-8431 标准, 为 10G DWDM 应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472

More information

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Clock Synthesis, Mux Pulse Recovery, DeMux TOSA ROSA TOSA/ROSA

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled : TE251532 ( ) N7510, NDL-2755T CNS 13438 95 ( ) (SL2-IN/R1/R2/A1-E-0012) 101 11 22 102 12 12 01 ...iii...1 1....2 1.1...2 1.2...2 1.3...3 1.4...4 2....5 2.1...5 2.2...6 2.3...7 3....8 4....9 4.1...9 4.2...9

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

DML-xxxx-15DB-C10 订购信息 型号描述拉环颜色 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML

DML-xxxx-15DB-C10 订购信息 型号描述拉环颜色 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DML-xxxx-15DB-C10 MODULETEK: DML-xxxx-15DB-C10 万兆 XFP CWDM 10KM 光收发模块 产品简介 ModuleTek 的 DML-xxxx-15DB-C10 10Gb/s 光收发模块专为 IEEE 802.3ae 10GBASE- LR,10GBASE-LW 和 10GFC 1200-SM-LL-L 互联而设计 该产品通过 2 线串行接口实现数字诊断功能,

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PowerPoint Presentation

PowerPoint Presentation Current Status of the 10G-EPON Power Budget and Improvement David Li, Hisense-Ligent dli@ligentphotonics.com Ligent Supporters Bo Wang, China Telecom David Li, Ligent Photonics Frank Chang, Vitesse Corp.

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

Application Note:

Application Note: 参考设计 : HFRD-22.2 Rev. 6; 11/08 自 2008 年 7 月起, 将不再提供该参考设计的电路板 如需 Gerber 文件和原理图, 请提交申请 参考设计 GPON (MOGPON) ONT 模块 参考设计 :GPON (MOGPON) ONT 模块 目录 1. 简介... 2 2. 其他信息... 2 3. 参考设计详细说明... 3 4. 推荐工作条件... 7 5. 典型设计性能数据...

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

ライン・インターフェイス・モジュールの内部コンポーネントの取り外しと交換インストレーションインストラクション, 2094-IN009D-JA-P

ライン・インターフェイス・モジュールの内部コンポーネントの取り外しと交換インストレーションインストラクション, 2094-IN009D-JA-P Cat.No. 09-ALS 09-ALS 09-AL0S 09-AL7S 09-BL0S 09-BLS 09-BL0S 09-BL7S 09-XL7S-C 09-XL7S-C 09-AL09 09-BL0 / 8 (FB) 7 Bulletin 09 Rockwell Automation, Inc. Rockwell Automation, Inc. (P) (P) (LIM) - LIM Cat.

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

2001/07/13 DST4600A DST4600A

2001/07/13 DST4600A DST4600A 2001/07/13 DST4600A 1... 1 1.1... 1 2 DST4600A... 1 2.1... 1 2.1.1... 1 2.1.2... 2 2.1.3... 2 2.1.4... 2 2.1.5... 3 2.1.6... 3 2.1.7... 3 2.1.8... 3 2.1.9... 4 2.1.10... 4 2.2... 4 2.2.1... 4 2.2.2...

More information

Microsoft Word - CMU200 WCDMA 手機測試步驟.doc

Microsoft Word - CMU200 WCDMA 手機測試步驟.doc 所有測試基於 3GPP TS34.121 CMU200 WCDMA 手機測試步驟 CMU200 測試前的設置 1. 按 Reset 鍵初始化 CMU200 2. 按 Menu Select 鍵, 選擇 WCDMA FDD Signaling 3. 按 BS Signal 軟鍵 ( 螢幕下部 X 軸 ), 進入 Node-B Settings, 選擇 Level Reference, 設置 Output

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

MV220 OSDB xls

MV220 OSDB xls MV22OHB SD BOARD Version 一 1 CDS SMD GL5516 5-10K ø5mm PCS 1 R6 SHENBA LED TH BLUE LED 2P 5mm PCS 1 LD1 QUANTUM 2 TACT SWITCH TH 6*6*4.3mm RIGHT ANGLE PCS 6 SW1,SW2,SW3,SW4,SW5,SW6 虹达 / 港源 3 WAFER TH PH12P

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

K7M SLOT 1

K7M SLOT 1 K7M SLOT 1 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 1 2 3 4 5 6 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 USB PS/2 COM1 COM2 CPU Core Voltage Setting

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

HFRD-16

HFRD-16 参考设计 : HFRD-28.0 Rev. 7; 11/08 参考设计 1Gbps 至 8.5Gbps 有源 SFP 铜缆组件 1Gbps 至 8.5Gbps 有源 SFP 铜缆组件 1 概述 高频参考设计 (HFRD)-28.0 是可集成在小外形可插拔 (SFP) 铜缆组件内的有源发送器和接收器 如果配合 24AWG Amphenol SpectraStrip SkewClear 电缆使用, 在

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

P3C2000 JumperFree TM Camino

P3C2000 JumperFree TM Camino P3C2000 JumperFree TM Camino 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 1 2 3 4 5 6 7 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 13 USB

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

2 目 录 GUITAR LINK UCG102 使 用 说 明 书 重 要 的 安 全 须 知... 3 法 律 声 明... 4 保 修 条 款... 4 1. 简 介... 5 2. 控 制 元 件 和 接 插 口... 6 3. 使 用 举 例... 6 4. 音 频 连 接... 7 5.

2 目 录 GUITAR LINK UCG102 使 用 说 明 书 重 要 的 安 全 须 知... 3 法 律 声 明... 4 保 修 条 款... 4 1. 简 介... 5 2. 控 制 元 件 和 接 插 口... 6 3. 使 用 举 例... 6 4. 音 频 连 接... 7 5. 使 用 说 明 书 GUITAR UCG102 The Ultimate Guitar-to-USB Interface for Recording and Jamming with your PC/Mac or ios device 2 目 录 GUITAR LINK UCG102 使 用 说 明 书 重 要 的 安 全 须 知... 3 法 律 声 明... 4 保 修 条 款... 4 1.

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

xx ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 *P077021601* 077-0216-01 ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 www.tektronix.com 077-0216-01 Copyright Tektronix. 保 留 所 有 权 利 许 可 软 件 产 品 由 Tektronix 其 子 公 司 或 提 供 商 所 有,

More information

線路介面模組安裝說明

線路介面模組安裝說明 09-AS 09-AS 09-AL0S 09-AL7S 09-B0S 09-BS 09-BL0S 09-BL7S 09-XL7S-C 09-XL7S-C 09-AL09 09-BL0 9 7 7 0 6 Bulletin 09 6 Rockwell Automation, Inc. Rockwell Automation, Inc. Rockwell Automation, Inc. Rockwell

More information

林绍宽

林绍宽 福 建 省 工 程 建 设 地 方 标 准 工 程 建 设 地 方 标 准 编 号 : DBJ/T13-187-2014 住 房 和 城 乡 建 设 部 备 案 号 : J 1 2 6 3 3-2 0 1 4 住 宅 区 和 住 宅 建 筑 内 有 线 广 播 电 视 设 施 工 程 设 计 施 工 和 验 收 规 程 Specification for design,installation and

More information

Microsoft Word - EKI doc

Microsoft Word - EKI doc ISO-9001 Certified ISO-14000 Certified ISO-17025 Certified ADVANTECH CO., LTD. QA Test Report EKI-1524 () Report No :08R185A1 Report Date : September, 9, 2008 Issue Stamp Charles Chang Charles Chang Meei

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud 02 Keysight N1090A N1092A/B/C/D/E N1094A/B DCA-M DCA...03 N109X...04 N1090A...07 N1092/4...08 N1090A... 10 N1090A EEC... 12 N1090A...

More information

Microsoft Word - EKI

Microsoft Word - EKI ISO-9001 Certified ISO-14000 Certified ISO-17025 Certified ADVANTECH CO., LTD. QA Test Report EKI-1522 () Report No :08R124A1 Report Date : September, 9, 2008 Issue Stamp Charles Chang Charles Chang Meei

More information