STM8单片机入门

Size: px
Start display at page:

Download "STM8单片机入门"

Transcription

1 STM8 单片机入门

2 -2- 目 录 1 STM8 微控制器简介 STM8S 系列 STM8L 系列 STM8A 系列 STM8 微控制器网站 STM8 集成开发环境简介 ST TOOLSET COSMIC IAR STVD 汇编语言程序设计 COSMIC C 语言程序设计 IAR C 语言程序设计 STM8 应用例程 STM8S 应用例程 STM8L 和 STM8A 应用例程 STM8 开发工具 ST-LINK STX-RLINK STM8 EMC 设计注意事项...76

3 1 STM8 微控制器简介 -3-1 STM8 微控制器简介 1.1 STM8S 系列 2009 年 3 月 4 日 意法半导体发布了针对工业应用和消费电子开发的微控制器 STM8S 系列产品 STM8S 平台打造 8 位微控制器的全新世代 高达 20 MIPS 的 CPU 性能和 V 的 电压范围 有助于现有的 8 位系统向电压更低的电源过渡 新产品嵌入的 130nm 非易失性存 储器是当前 8 位微控制器中最先进的存储技术之一 并提供真正的 EEPROM 数据写入操作 可达 30 万次擦写极限 在家用电器 加热通风空调系统 工业自动化 电动工具 个人护理设 备和电源控制管理系统等各种产品设备中 新产品配备的丰富外设可支持精确控制和监视 功能 功能包括 10 位模数转换器 最多有 16 条通道 转换用时小于 3 微秒 先进的 16 位 控制定时器可用于马达控制 捕获/比较和 PWM 功能 其它外设包括一个 CAN2.0B 接口 两 个 U(S)ART 接口 一个 I2C 端口 一个 SPI 端口 STM8S 平台的外设定义与 STM32 系列 32 位微控制器相同 外设共用性有助于提高不 同产品间的兼容性 让设计灵活有弹性 应用代码可移植到 STM32 平台上 获得更高的性 能 除设计灵活外 STM8S 的组件和封装在引脚上完全兼容 让开发人员得到更大的自由 空间 以便优化引脚数量和外设性能 引脚兼容还有益于平台化设计决策 产品平台化可节 省上市时间 简化产品升级过程 STM8S主要特点: 速度达20 MIPS的高性能内核 抗干扰能力强 品质安全可靠 领先的130纳米制造工艺 优异的性价比 程序空间从4K到128K, 芯片选择从20脚到80脚 宽范围产品系列 系统成本低 内嵌EEPROM和高精度RC振荡器 开发容易 拥有本地化工具支持 STM8S主要应用: 汽车电子 传感器 致动器 安全系统微控制器 DC马达 车身控制 汽车收音机 LIN节点 加热/通风空调 工业应用 家电 家庭自动化 马达控制 空调 感应 计量仪表 不间断电源 安全 消费电子 电源 小家电 音响 玩具 销售点终端机 前面板 电视 监视设备 医疗设备 个人护理产品 健身器材 便携护理设备 医院护理设备 血压测量 血糖测量 监控 紧急求助

4 1 STM8 微控制器简介 -4- STM8S 产品分为 Access Line 入门级 和 Peroformance Line(增强型) 如 下图所示

5 1 STM8 微控制器简介 STM8L 系列 2009 年 9 月 15 日 意法半导体宣布 首批整合其高性能 8 位架构和最近发布的超低功 耗创新技术的 8 位微控制器开始量产 以节省运行和待机功耗为特色 STM8L 系列下设三个 产品线 共计 26 款产品 涵盖多种高性能和多功能应用 设计工程师利用全新的 STM8L 系列可提高终端产品的性能和功能 同时还能满足以市 场为导向的需求 例如 终端用户对节能环保产品的需求 便携设备 各种医疗设备 工业 设备 电子计量设备 感应或安保设备对电池使用周期的要求 设计人员将选择 STM8L 这类超 低功耗的微控制器 以符合低功耗产品设计标准 如 能源之星 IEA 的 1W 节能计划 或欧盟的 EuP 法令 这三条 STM8L 产品线都基于意法半导体的超低功耗技术平台 这个平台采用意法半导 体独有的超低泄漏电流优化的 130nm 制程 独一无二的技术优势包括在 1.65V 到 3.6V 的整 个电源电压范围内达到 CPU 最大工作频率 发挥 CPU 的全部性能 此外 由于采用一个片上 稳压器 功耗与 Vdd 电压无关 所以具有更高的设计灵活性 并有助于简化产品设计 其它创新特性包括低功耗嵌入式非易失性存储器和多个电源管理模式 包括 5.4µA 低 功耗运行模式 3.3µA 低功耗待机模式 1µA 主动停止模式 实时时钟运行 和 350nA 停止模 式 STM8L 可以在 4µs 内从停止模式唤醒 支持频繁使用最低功耗模式 低功耗外设 包括 小于 1µA 的实时时钟和自动唤醒 AWU 模块 有助于进一步节省电能 总之 这个平台可 将动态电流消耗降到 150µA/MHz STM8L主要特点: STM8 16 MHz CPU 内置 4-32 KB 闪存 多达 2KB SRAM 三个系列:跨系列的引脚对引脚兼容 软件相互兼容 外设相互兼容 电源电压 1.8 V-3.6 V (断电时 最低 1.65 V) 超低功耗模式 保持 SRAM 内容时 最低功耗 350nA 运行模式动态功耗低至 150μA/MHz 最先进的数字和模拟外设接口 工作温度范围:-40 C 到+85 C 可高达 125 C 免费的触感固件库 STM8L主要应用: 便携医疗设备 玩具和游戏 公路收费 电池供电设备 个人保健产品 保安传感器

6 1 STM8 微控制器简介 -6- STM8L 产品分为三大子系列 STM8L101 基本型 STM8L151 增强型和 STM8L152 带 LCD 驱 动的增强型 如下图所示

7 1 STM8 微控制器简介 STM8A 系列 意法半导体公司推出的 STM8A 是一款专门用于满足汽车应用的特殊需求的 8-位 Flash 微控制器 这些模块化产品提供了真数据 EEPROM 以及软件和引脚兼容性 适用的程序存 储器尺寸范围为 8KB 至 256KB 和 20 至 128-引脚封装 所有器件的工作电压均为 3V 至 5V 并且其工作温度扩展到了 145 C STM8A 主要特点 集成式真数据 EEPROM 16MHz 和 128kHz RC 振荡器 高效的 STM8 内核 在 16MHz 的频率下可以实现 10MIPS 的性能 应用安全性高 独立的看门狗定时器 时钟安全系统 所有产品均具有 LIN 2.0 和自同步功能 电源电压 3.3V 和 5V 最高工作温度:145 C STM8A主要应用: 激励器 车体控制器 传感器 DC 电机控制 安全微控制器 LIN 节点 汽车无线电 HVAC

8 1 STM8 微控制器简介 -8- STM8A 产品线如下图所示

9 1 STM8 微控制器简介 STM8 微控制器网站 ST官方所发布的全部微控制器产品信息 尽在 点击相应的产 品系列 则可进入其产品主页 在每个产品的主页上一般都会有一个超连接 点击超连接可 找到其系列的全部的官方资料 例如STM8S系列 在进入STM8S主页后 点击如下的超连接可 找到全部的资料和文件 Documents and Files for STM8S family 也可以通过以下地址直接进入相关的STM8系列主要网址 STM8A汽车电子产品系列 STM8L超低功耗产品系列: STM8S标准产品系列

10 1 STM8 微控制器简介 STM8 集成开发环境简介 2.1 ST TOOLSET ST TOOLSET 是 ST 提供的微控制器开发套件 ST TOOLSET 包括两部分软件 ST Visual Develop (STVD)和 ST Visual Programmer (STVP) 支持 STM8 全系列的开发 ST Visual Develop (STVD)是 ST 微控制器的集成开发环境 主要是面向 ST 的 8 位微控制 器产品 STVD 可以创建 调试以及烧录 ST 微控制器 STVD 提供了一个免费的汇编编译器 用户可使用汇编语言直接在此环境中(STVD)编写汇编程序 ST Visual Programmer (STVP)是 ST 提供的用于生产或批量的专用烧录软件 ST Toolset 可从 ST 的网站上下载 或者尝试直接从下面的地址直接下载

11 2 STM8 集成开发环境简介 ST TOOLSET 在下载后 运行其安装程序 如下图所示 在软件安装完成之后 可从开始菜单来启动 开始>ST Visual Develop 如下图所 示 ST TOOLSET 启动后 其界面如下图所示

12 2 STM8 集成开发环境简介 COSMIC Cosmic 公司(Cosmic Software Inc.)的 Cosmic C 编译器(Cosmic C compiler)及全套嵌入开 发工具支持 STM8 系列产品的开发 Cosmic 产品包括 C 交叉编译器 汇编 连接器 ANSI 库 仿真器 硬件调试器和易于使用的集成开发环境 IDEA Cosmic 公司提供了 16K 和 32K 代码大小限制的全功能的免费软件 此软件可从 免费下载 或者尝试直接从下面地址下载 在软件下载完成之后 运行安装程序 出现如下界面 (按照其提示安装即可) COSMIC 公司为用户提供了一个集成的开发环境 其运行后界面如下图所示 注 建议用户将 COSMIC C 编译器外挂于 ST TOOLSET 中 使用 ST 提供的集成开 发环境 STVD 进行产品的开发 后面说明其用法

13 2 STM8 集成开发环境简介 COSMIC C 编译器在安装过程中 出现下图提示 提示创建桌面图标和申请软件使用 协议 可以选择 Register your license 来申请协议 选择 Next 根据要求填写下面的注册信息 要用英文填写完整 选择 Register by 此时 会启动计算机系统默认的邮件软件 发送申请协议的邮件 用户会受到一个协议文件 将其 拷 贝 到 安 装 目 录 下 的 license 目 录 下 即 可 默 认 目 录 为 C:\Program Files\COSMIC\CXSTM8_16K\license 注意 1 在填写时 注意在 Country 中一定要注明国家或地区 如 China 2 建议用英文书写 3 默认的邮件程序 可通过 Internet Explorer 的菜单 Tool -> Internet Option 来设置

14 2 STM8 集成开发环境简介 如 果 在 安 装 过 程 中 没 有 申 请 协 议, 也 可 以 在 安 装 目 录 下, 找 到 C:\Program Files\COSMIC\CXSTM8_16K 目录 默认安装目录 下面的 lmreg16k.exe 文件 运行也 可以进行注册取得协议文件 另外 一个更简单的方式就是直接书写并发送一个 也可以获得协议文件 书写的例子如下 收件人 标题 stm8_16k@cosmic.fr STM8 16k License Request The information below should be sent to stm8_16k@cosmic.fr to obtain a license for this product Registration Information for: User: Jacky Wang Company: Company Name Address: PuDong South Road PuDong New District,Shanghai , P.R.China Country: China Phone: Fax: Product Information:. PRODUCT=LXSTM816K HOSTID=001a4d72fffc USER=jinquan DISPLAY=BFF785D2F2E641D HOSTNAME=BFF785D2F2E641D DISK_SERIAL_NUM=2a1d0905 说明 发送至 stm8_16k@cosmic.fr 标题 STM8 16k License Request Registration Information for: 需要用户根据自己的信息填写 Product Information 可以运行 lmreg16k.exe 文件后自动获得 不同的用户 不同的计算机 Registration Information for: 和 Product Information 是 不同的 一个 license 文件只允许一台计算机使用

15 2 STM8 集成开发环境简介 IAR IAR Systems 推出开发工具 STM8 系列嵌入 式设计工作台 EWSTM8 支持 8 位微控制 器市场主流的 STM8 STM8A,STM8L,STM8S 系 列产品 IAR EWSTM8 嵌入式设计工作台提供一整 套开发工具 包括一个项目管理器 编辑器和项目 创建工具 C 语言编译器和链接器 该工作台还 为开发人员提供调试功能 可以连接意法半导体 价格低廉的在线调试器 ST-LINK 以及先进的高端 仿真器 STice IAR 提供 8K 代码限制和 30 天评估版的 C 编译器 可从 网站上直接下载 软件下载后 运行其安装程序 如图所示 安装完成之后 运行 IAR 集成开发环境 其界面如图所示

16 STVD 汇编语言程序设计 创建 从 开 始 菜 单 启 动 ST Visual Develop STVD 从 菜 单 中 选 择 File>New Workspace 如下图所示 出现如下图的对话框 选择 Create workspace and project 在 Workspace filename 中输入 workspace 名字 demo, 选择 workspace 保存的路径 c:\stm8asm 如下图所示

17 在 project filename 中输入 project 名字: demo 在 Project location 中选择 project 保存 的路径 一般与 workspace 保存在同一个目录下 选择工具链 Toolchain: ST Assembler Linker ST Assembler 是 ST 提供的免费的汇编编译器 Toolchain Root 一般是默认安装的 如果在 ST TOOLSET 安装过程中改变了安装目录 需要确认安装路径 相关设置如图所示 在 MCU Selection 对话框中 选择 MCU 型号 可以在空白框中输入型号中的部分字符 可快速筛选目标型号 如图所示

18 至此 workspace 和 project 创建完成 用户需要修改并添加自己的汇编代码 完成后界 面如下图所示 STVD 在项目中自动添加了 main.asm, mapping.asm 和 mapping.inc 文件 其说明如下 表 文件 main.asm mapping.asm mapping.inc 说明 基本的程序架构 文件中包含了中断向量和中断函数 NonHandledInterrupt 用户 可根据此进行参考修改 - 清 RAM0,RAM1 和清堆栈程序 用户可删除此部分程序 自己进行 变量的初始化 建议在程序开始时对自己定义的变量初始化 定义了段的名字和地址(ram0, ram1, stack, eeprom, rom, vectit) 定义了段 ram0,ram1,stack 的起始和结束地址 - 从 C:\Program Files\STMicroelectronics\st_toolset\asm\include (默认安装目录) 找到 相 关 MCU 型 号 的 寄 存 器 定 义 文 件 本 例 中 用 到 STM8S207C_S.ASM 和 STM8S207C_S.INC 到当前工程目录下 并添加到工程项目中 添加后的 workspace 如 下图所示

19 用户需要根据自己的要求 修改 main.asm 在 修 改 中 断 时 先 在 中 断 向 量 表 中 找 到 对 应 的 中 断 地 址 把 NonHandledInterrupt 中断名字修改成自定义的中断名字 其他部分不需要修改 然后 定义一个中断函数 ;自定义中断函数 interrupt My_Interrupt_Name My_Interrupt_Name.l ; ; 中断处理代码 ; Iret ;中断向量表 segment 'vectit' dc.l {$ main} ; reset dc.l {$ My_Interrupt_Name} ; trap ; ;其他中断 ; end 说明 dc.l {$ main} 复位向量在复位后直接跳转到 main 处执行

20 源文件 main.asm ; 第一行必须保留而且要顶格写.指定目标单片机的指令集 stm8/ Include 区 ;* 包含文件 #include "mapping.inc" #include "stm8s207c_s.inc" ;* 常量定义 #define MYDF1 MYCNT1 EQU 常量区 3 2 ;/* ram0 区变量定义 segment 'ram0' MY_RAM0_VAR1 ;从此行以后是 ram0 变量区 DS.B 1 RAM0 变量区 ;保留 1 个字节的变量空间 ;/* ram1 区变量定义 segment 'ram1' MY_RAM1_VAR1 ;从此行以后是 ram1 变量区 DS.B 128 RAM1 变量区 ;定义 128 个字节的一组变量空间 ;* 主程序(ROM) segment 'rom' ;从此行以后是 rom 代码区 main.l 主程序区 ; 初始化 main_loop.l ; JRA main_loop Subroutine.l Ret 子程序区 ;* 中断程序 interrupt NonHandledInterrupt 中断程序区 NonHandledInterrupt.l Iret ;*中断向量映射 segment 'vectit' dc.l {$ main} ; reset dc.l {$ NonHandledInterrupt} ; trap dc.l {$ NonHandledInterrupt} ; irq0 中断向量映射 ; dc.l {$ NonHandledInterrupt} ; irq28 dc.l {$ NonHandledInterrupt} ; irq29 end

21 编译 源程序编辑完成后 可选择 Build>Rebuild All 编译工程 如下图所示 若源程序正确无误 会显示如下 若要查看编译后的程序代码的大小 可找到工程目录下的 Debug 在 Debug 目录下有 个.map 文件 本例是 stm8asm.map 如下所示

22 在 stm8asm.map 文件里 记录了 ram0,ram1,rom,stack,eeprom,rom 等的使用情况 byte from 8080 to 81F5 程序代码 本例的代码地址范围是 rom' 大小是 81F = 175(HEX) = 373 个字节 调试 本节介绍在 ST Visual Develop 环境中的软件调试说明 首先 先选择调试与仿真的工具 可通过菜单 Debug instrument>target Settings 来 设置 如下图所示 在弹出的对话框中 列表中列出了此开发环境支持的所有工具 常用的在线调试工具是 Swim Rlink 和 Swim ST-LINK Simulator 是软件仿真

23 可从菜单选择 Debug> Start Debugging 可进入调试状态 如下图所示 可通过 View 的下拉菜单的菜单项进行不同的显示 如图所示 进入调试状态后 界面如下图所示

24 烧录 使用 STVD 中烧录 选择 Tools > Programmer 出现如下烧录界面 请确认烧录的 Target Device 型号 选 择正确的硬件设备 Settings 选项 对于 STM8 系列单片机的 Hardware> hardware 可选择 RLINK ST-LINK STICE 等 工具进行烧录 Run STVP 可以直接运行 STVP 若不想使用 STVD 的编程器 Memory Areas 选项

25 Memory 可以选择 DATA MEMORY 和 PROGRAM MEMORY DATA MEMROY EEPROM 数据 PROGRAM MEMORY 程序 点击 Add 可以添加要烧录的目标文件 如图所示 OPTION BYTE 选项 根据应用配置合适的 OPTION BYTE 选项

26 Program 选项 配置完成之后 点击 Start 即可可以进行烧录 使用 STVP 烧录 可 以 运 行 独 立 的 烧 录 软 件 ST Visual Programmer (STVP) 运 行 开 始 >ST Toolset>Development Tools > ST Visual Programmer 如图所示

27 烧录软件配置 运行 Configure > Configure ST Visual Programmer 如图所示 Hardware: 烧录工具 Port: USB Programming mode: SWIM Device: 选择要烧录的型号 打开要烧录的目标文件 File > Open DATA MEMORY: EEPROM 数据区 配置 OPTION BYTE 选项 Value: 可直接在此框内直接输入配置好的 OPTION BYTE 值 OPTION BYTE 内容根据 Value 的值自动配置好

28 以 STM8S105S4 为例说明 OPTION BYTE 配置 ROP 是读出保护设置 若设置了 ON 那么程序是就无法读出 ST 的保密性比较高 UBC [7:0] 用户启动代码区 一般用户在做 IAP 时 需要保护的代码部分设置 AFR[7:0] 备选功能重映射选项 通过此来设置需要的功能 比如同一个引脚会有不同的功 能 可通过此选项来设置需要的功能 HSITRIM 高速内部时钟调节寄存器大小 LSI_EN 低速内部时钟使能 IWDG_HW 独立看门狗 WWDG_HW 窗口看门狗激活 WWDG_HALT 当芯片进入停机模式时窗口看门狗的复位动作 EXT_CLK 外部时钟选择 CKAWUSEL 自动唤醒单元/时钟 PRSC[1:0] AWU时钟预分频 HSECNT[7:0] HSE晶体振荡器稳定时间 BOOTLOADER ENABLE: 如果用户使用 UART 来下载程序 可通过此选项位来设置 更多的信息 可参考 STM8S105S4 的数据手册

29 COSMIC C 语言程序设计 COSMIC 提供了一个的 IDE 环境 用户可使用 COSMIC IDE 进行软件的开发 目前 建议在 STVD 中外挂 COSMIC C 编译器 进行软件开发 STVD 提供了比较友好 的开发调试界面 STVD 设置 要用 STVD 开发 COSMIC C 语言 首先要在 STVD 中对 COSMIC C 编译器进行设置 运行 ST Visual Develop 集成开发环境,选择菜单 Tools -> Options 在出现的对话框中选择 Toolset 选项卡. 再选择下拉菜单,选中 STM8S Cosmic 设 置编译器的安装路径 然后选择 确定 本例中选择的 Root path 是 C:\Program Files\COSMIC\CXSTM8_16K 至此,就完成了 COSMIC C 编译器的设置完成

30 创建 在主菜单条中 选择 File > New Workspace 在 New Workspace 窗口中 点击 Create workspace and project 图标 然后点击 OK 1. 在 Workspace Filename 字段中 输入一个 workspace 名字 2. 选择 workspace 和项目保存的路经 结果 STVD 的 Workspace 窗口包含一个 workspace 图标 工 作 目 录 中 包 含 文 件 <workspace name>.stw, <workspace name>.wsp and <workspace name>.wed

31 在 Project filename 字段中输入一个项目名字 4. 在 Project Location 字段中选择一个工程保存的路经 默认地 使用 workspace 使用的 路经 5. 在 Toolchain 列表框中 选择 STM8 Cosmic 6. 在 Toolchain Root 字段中 输入路径 然后点击 OK 7. 在 MCU Selection 窗口中 选择需要的 MCU 也可以通过 Project Setting 窗口来选择 MCU 8. 点击 OK 9. 保存 workspace 和 project

32 main.c 和 stm8_interrupt_vector.c 是自动添加的.用户只需要根据不同的 MCU 的中断 向量不同填写相应的中断处理函数即可. 打开 Project->Settings... 设置当前的 project 的配置 3.2.3编译 请参考 STVD 汇编语言程序设计章节中的 编译 3.2.4调试 请参考 STVD 汇编语言程序设计章节中的 调试 3.2.5烧录 请参考 STVD 汇编语言程序设计章节中的 烧录

33 COSMIC C 语言相关说明 COSMIC 段的定义(Section) 编译器使用默认预定义的段输出不同部分的机器码 默认段是 段.text.const.fconst.data.bss.bsct.ubsct.fdata.eeprom.bit 描述 可执行代码 文本字符和常数 大常量(@far) 初始化变量(@near) 未初始化变量(@near) 零页内的初始化变量(@tiny, 默认) 零页内的未初始化变量(@tiny,默认) 大变量(@far) EEPROM 位变量 通过 pragma 定义用户自己的段 #pragma section <attribute> <qualified_name> <attribute> 可以是空白 或者使用下面语句 <qualified_name> 是一个段的名字 (name) - 圆括号表示代码段 [name] 方括号表示未初始化的数据 {name} 大括号表示初始化的数据 段的名字开头不能用点开始 段的名字不能超过 13 个字符 使用<qualified_name>可以切回 到默认段

34 COSMIC C 语言中嵌入汇编指令 COSMIC C 编译器提供两种方法嵌入汇编指令 第一种方法是#asm 和#endasm 嵌入汇编指令块 第二种方法是嵌入行汇编 单独一行汇编指令 第一种方法语法 #asm //开始汇编指令块 #endasm //结束汇编指令块 第二种方法语法 _asm( 嵌入的汇编代码, 符合 C 语言规则的参数...); 例如 执行单条指令 _asm("ld _mya,a"); 若在一行内执行多条指令 _asm("push a\n ld a,88\n ld _mya,a\n inc a\n pop a\n call _subroutine\n"); 下面是一个嵌入汇编的例子 #include "stm8s207c_s.h" unsigned char i,mya; void subroutine(void){} main() { mya=0x22; #asm //#asm 要顶格书写 push a ld a,_mya inc a ld _mya,a call _subroutine pop a #endasm _asm("push a\n ld a,88\n ld _mya,a\n pop a\n call _subroutine\n"); while (1) {i = mya;} }

35 COSMIC C 编译器的启动程序 COSMIC 有一个启动程序 就是在单片机复位之后 在程序跳转至 main 函数之前 插入 一段汇编代码做一些初始化的动作 其包括 - 段的初始化 如 bss - 拷贝 ROM 到 RAM(如果程序中需要的话) - 堆栈指针初始化 下面是 crtsi0.sm8 反汇编之后的代码 0x8084 < stext+1> 0x0FFF CLR (0xff,SP) 0x8086 < stext+3> 0x94 LDW SP,X 0x8087 < stext+4> 0x90CE8080 LDW Y,0x8080 LDW Y, idesc 0x808b < stext+8> 0xAE8082 LDW X,#0x8082 LDW X,#0x8082 0x808e < stext+11> 0xF6 LD A,(X) LD A,(X) 0x808f < stext+12> 0x2720 JREQ 0x80b1 JREQ 0x80b1 0x8091 < stext+14> 0xA560 BCP A,#0x60 BCP A,#0x60 0x8093 < stext+16> 0x2717 JREQ 0x80ac JREQ 0x80ac 0x8095 < stext+18> 0xBF03 LDW 0x03,X LDW c_x,x 0x8097 < stext+20> 0xEE03 LDW X,(0x03,X) LDW X,(0x03,X) 0x8099 < stext+22> 0xBF06 LDW 0x06,X LDW c_y,x 0x809b < stext+24> 0xBE03 LDW X,0x03 LDW X,c_x 0x809d < stext+26> 0xEE01 LDW X,(0x01,X) LDW X,(0x01,X) 0x809f < stext+28> 0x90F6 LD A,(Y) LD A,(Y) 0x80a1 < stext+30> 0xF7 LD (X),A LD (X),A 0x80a2 < stext+31> 0x5C INCW X INCW X 0x80a3 < stext+32> 0x905C INCW Y INCW Y 0x80a5 < stext+34> 0x90B306 CPW Y,0x06 CPW Y,c_y 0x80a8 < stext+37> 0x26F5 JRNE 0x809f JRNE 0x809f 0x80aa < stext+39> 0xBE03 LDW X,0x03 LDW X,c_x 0x80ac < stext+41> 0x1C0005 ADDW X,#0x0005 ADDW X,#0x0005 0x80af < stext+44> 0x20DD JRT 0x808e JRT 0x808e 0x80b1 < stext+46> 0xAE0000 LDW X,#0x0000 LDW X,#0x0000 0x80b4 < stext+49> 0x2002 JRT 0x80b8 JRT 0x80b8 0x80b6 < stext+51> 0xF7 LD (X),A LD (X),A 0x80b7 < stext+52> 0x5C INCW X INCW X 0x80b8 < stext+53> 0xA30009 CPW X,#0x0009 CPW X,#0x0009 0x80bb < stext+56> 0x26F9 JRNE 0x80b6 JRNE 0x80b6 0x80bd < stext+58> 0xAE0100 LDW X,#0x0100 LDW X,#0x0100 0x80c0 < stext+61> 0x2002 JRT 0x80c4 JRT 0x80c4 0x80c2 < stext+63> 0xF7 LD (X),A LD (X),A 0x80c3 < stext+64> 0x5C INCW X INCW X 0x80c4 < stext+65> 0xA30100 CPW X,#0x0100 CPW X,#0x0100 0x80c7 < stext+68> 0x26F9 JRNE 0x80c2 JRNE 0x80c2 CLR (0xff,SP) LDW SP,X

36 - 36-0x80c9 < stext+70> 0xCD80CF CALL 0x80cf CALL main 0x80cc <_exit> 0x20FE JRT 0x80cc JRT _exit 在 STVD 开发环境中 启动文件的设置如图所示 project->setttings... 建议 - 在软件设计时 建议用户不使用 C 编译器的启动文件 也就是说 在单片机复位后 直 接跳转至 main 处执行 在 main 开始处 按照自己的设计 做一些变量和外设等的初始 化动作 - 跳转至 main 需要做如下修改 将图中 Start-up 修改为 None 修改复位函数 - {0x82, (interrupt_handler_t)_stext}, /* reset */ {0x82, 修 改 为 (interrupt_handler_t)main}, /* reset */ 并修改外部函数声明 - extern void _stext(); /* startup routine */ 修 改 为 extern void main(); /* startup routine */ COSMIC 的存储器模式 代码小于 64K STM8 编译器支持两种存储器模式 函数指针和数据指针默认是@near 指针 2 个字节 - stack short (mods0) 全局变量默认 short range 类型 任何在 long range 范围的全局变量必

37 须明确地用@near 来访问 除非通过指针访问 Stack Long (modsl0) 全局变量默认为 long range 类型 任何在 short range 类型中的变量必须明 确地用@tiny 来访问 代码大于 64K STM8 编译器支持两种存储器模式 函数指针默认是@far 指针 3 个字节 数据指针默 认为@near 类型 2 个字节 除非用@far 明确地声明 - Stack Short (mods)全局变量默认 short range 类型 任何在 long range 范围的全局变量必 须明确地用@near 来访问 除非通过指针来访问 - Stack Long (modsl0) 全局变量默认为 long range 类型 任何在 short range 类型中的变量必须明 确地用@tiny 来访问 数据类型 Short int 和 int 默认为 2 个字节 Long int 默认为 4 指针 short range 默认为 1 指针 long range 默认为 2 指针默认为 3 个字节 COSMIC 的位变量设置 STM8 C 编译器位变量在 STVD 中的设置 project->settings 如下图所示

38 C 编译器使用_Bool 类型定义位变量 新的 ANSI/ISO 标准 C99 布尔变量可用做结构或 联合类型 连续的布尔变量放在一个字节中 布尔变量定义 例子如下 常量定义 常量定义例子 定义一个常量表

39 const unsigned char constring[] ={0x2C,0x27,0x23,0x1F,0x1D,0x1A,0x17,0x16,0x13,0x11,0x0F,0x0E,0x00, 0x0D, 0x09, 0x15 }; unsigned char i; i = constring[3]; // i = 0x1F 在 RAM 中运行程序 可使用 COSMIC 中的函数_fctcpy 将 FLASH 中的代码代码拷贝 RAM 中 并运行 _fctcpy 从 FALSH 中拷贝一段可移动代码段到 RAM 中 _fctcpy 寻找 linker 定义的描述符 此 描 述 符 是 在 RAM 中 定 义 段 的 第 一 个 字 符 本 例 子 在 RAM 中 定 义 了 一 个 段.CODE_IN_RAM 所以地一个字符是 C 需要在程序中应用 int _fctcpy char name ; 在 Ram 中创建一个.CODE_IN_RAM 段 并在 Option 中输入 -ic.code_in_ram 表示在 RAM 中定义一个 CODE_IN_RAM 的段 程序可从此起始 地址运行 _fctcpy 函数使用此段的名字的第一个描述符 C -ic 表示标记这个段为可移动的段 可将 FLASH 中的代码移动到此 在程序代码中完成函数 #pragma section (CODE_IN_RAM) functions() { var1 = 0x99; var2 = 0x88; var3 = 0x77; } routine() { var1++; var2++; var3++; } #pragma section () 打开 project->settings ->Linker 如下图所示配置

40 例子如下 unsigned char var1,var2,var3; int _fctcpy(char name); #pragma section (CODE_IN_RAM) functions() { var1 = 0x99; var2 = 0x88; var3 = 0x77; } routine() { var1++; var2++; var3++; } #pragma section () main() { _fctcpy('c'); //拷贝 pragma section(code_in_ram)中的函数到 RAM functions(); // 调用 RAM 中的 functions 函数 routine(); // 调用 RAM 中的 routine 函数 while (1)

41 { _asm("nop"); _asm("nop"); _asm("nop"); _asm("nop"); } } 如何生产 hex 文件 设置 Project-> Settings ->Post-Build 原来设置 chex -o $(OutputPath)$(TargetSName).s19 $(OutputPath)$(TargetSName).sm8 设置为 chex fi o $(OutputPath)$(TargetSName).hex $(OutputPath)$(TargetSName).sm8

42 IAR C 语言程序设计 创建 创建一个 Workspace 第一步 先创建一个 workspace 选择 File>New>Workspace 创建一个新的工程 1) 创建一个新的工程 选择 Project>Create New Project 创建新工程的对话框 如图 2) 3) 4) Tool chain 默认是 STM8 Series 无需再选择 在 Project templates 中选择 Empty project 弹出 Save As 对话框 选择 project 保存的路径 并输入 project 的名字 在 Workspace 窗口中 显示如下 默认地 有 Debug 和 Release 两个配置 默认配置是 Debug

43 5) 在添加文件到工程中之前 先保存 workspace 选择 File>Save Workspace, 指定要保存的 路径 并输入 workspace 的名字 一个 workspace 文件的扩展名是 eww. 此文件列出了添加到 workspace 中的所有的 project 相 关当前会话信息 比如 windows 的保存路径和断点保存于 projects\setttings 目录下 添加文件到工程 可选择 Project>Add Files 选择要添加的 C 文件 找到相应 MCU 型号的头文件 拷贝到当前 的工程目录中 默认地 头文件在 IAR 安装目录 inc 下 C:\Program Files\IAR Systems\Embedded Workbench 6.0 Kickstart\stm8\inc 本 例 中 使 用 了 iostm8s105s6.h 编写一个简单的 C 程序 源文件 main.c /* Includes */ #include "iostm8s105s6.h" /* Global variables */ unsigned int ms_count; void CLK_Configuration(void) { CLK_CKDIVR = 0x00; /* fcpu=fmaster = 16MHz */ } void GPIO_Init(void) { PD_DDR = 0x0D; /* Output. */ PD_CR1 = 0x0D; /* PushPull. */

44 PD_CR = 0x00; /* Output speed up to 2MHz. */ } void TIM2_Init(void) { TIM2_PSCR = 0x00; /* Configure TIM2 prescaler =16. */ TIM2_ARRH = 0xC1; /* Configure TIM2 period. */ TIM2_ARRL = 0x7F; /* Configure TIM2 period. */ TIM2_CNTRH = 0xC1; TIM2_CNTRL = 0x7F; TIM2_CR1 = 0x81; /* Enable TIM2. */ TIM2_IER = 0x01; /* Enable TIM2 OVR interrupt. */ } main() { asm("sim"); /* disable interrupts */ ms_count = 0; CLK_Configuration(); GPIO_Init(); TIM2_Init(); asm("rim") ; /* enable interrupts */ while (1) { asm("nop"); asm("nop"); asm("nop"); } } 中断 声明一个中断向量 说明如下 #pragma vector=0x02 interrupt void interrupt_handler(void) 说明: #pragma vector 是 IAR 中断向量指令 =0x02 : 其数字代表中断向量编号 STM8 的地址是从 0x00800 开始 IAR 的中断编号从 0 开始 中断向量号依次按照中断地址递增 如 复位向量是 0x 中断向量号是 0x00 TRAP 的中断地址是 0x 中断向量号是 0x01 SPI 中断号是 0x0C; 详细见下表: interrupt void interrupt_handler(void): 是 声 明 一 个 中 断 函 数 注 意 interrupt 是两个下划线 interrupt_handler 是中断函数名字 可自己定义

45 - 45 -

46 一个简单的中断函数 stm8s105_interrupt.c /* Includes */ #include "iostm8s105s6.h" /* External variables */ extern unsigned int ms_count; /* Defines an interrupt handler for TIM2_UPDATE vector. */ #pragma vector=15 interrupt void TIM2_UPDATE_IRQHandler(void) { TIM2_SR1 &=~(0x01); ms_count++; if(ms_count == 500) { PD_ODR ^= 0x01; ms_count = 0; } /* 0.5 秒中斷 */ }

47 工程选项配置 选 择 Project>Options 或 者 在 Workspace 窗 口 选 中 project 名 字 右 击 选 择 选 择 Options 1) 在 Category 中 选择 General Options,如图 在 Target 的 Device 中 选择相应的 MCU 型号 其他选择默认 2) 在 Category 中 选择 C/C++Compiler 显示 compiler 选项页

48 选择 Output list file, 输出列表文件 3) 在 Category 中 选择 Assembler 显示 Assembler 选项页 4) 在 Category 中 选择 Output Converter 显示 Output Converter 选项页

49 在 Debug\Exe 目录中 产生目标文件 IAR 默认的是.out 文件 此界面可选择不同的格式目标 文件 本例选择 Intel extended 格式的目标文件 5) 在 Category 中 选择 Linker 显示 Linker 选项页 默认输出文件是 工程名字.out

50 选中 Generate linker map file,输出工程的 map 文件 6) 在 Category 中 选择 Debugger 显示 Debugger 选项页 在 Driver 中 选择 ST-LINK IAR 目前还支持软件仿真模拟和 STice 工具

51 编译 通过 Project>Compile 或者 Project>Rebuild All 来编译某个源文件或者全部重新编译 编译创建信息显示窗口如下 IDE 将创建 List, Obj 和 Exe 目录 List 目录是列表文件的目录 其扩展名为.lst Objm 目录是目标文件目录 ILINK 连接器的扩展名为.o Exe 目录是可执行文件目录 可通过 workspace 中的 output 文件夹 来查看所有输出的文件

52 查看列表文件 List 文件可查看汇编代码和每个段的二进制代码 还显示变量如何分配 List 文件的结尾现实 了堆栈 代码和数据存储器的大小 可选择 Tools>Options 来配置更新改变的文件 若要看代码的大小 可找到工程目录下的 \Debug\List 在 list 下有.map 文件 用记事本 打开.map 文件 在.map 的末尾 可查看程序代码大小 如本例中的.map 文件 [1] = D:\Tutorial\EWSTM8S\Debug\Obj [2] = command line [3] = dbgstm8smd.a [4] = dlstm8smn.a 294 bytes of readonly code memory 132 bytes of readonly data memory 274 bytes of readwrite data memory Errors: none Warnings: none 本例的程序代码是 294 个字节

53 调试 选择 Project>Download and Debug. 进入调试状态 或者选择工具栏 使用 Auto 窗口 在调试状态下 选择 View>Auto 打开 Auto 窗口 Auto 窗口自动地显示当前的修改变量 如 图 观察窗口 选择 View>Watch 打开观察窗口 如图 寄存器窗口 选择 View>Register 打开寄存器窗口

54 烧录 IAR 在调试时 可直接把代码下载到 STM8 里 也可以通过 STVP 来烧录 在 IAR 工程当前目录下 有 Debug\Exe Exe 下面有 个.hex 文件 这个就是目标文件 在 STVP 中调入 HEX 文件 配置好 OPTION BYTE 进行程 序的烧录 运行 STVP 如图所示 选择编程器 Configure>Configure ST Visual Programmer

55 打开 HEX 文件 File>Open 配置 OPTION BYTE

56 选 择 Program>All tabs(on action sectors if any) 此 选 项 可 将 PROGRAMM MEMORY,DATA MEMORY 和 OPTION BYTE 一起烧录到 STM8 里面去 IAR C 语言相关说明 嵌入汇编语言 asm( nop );

57 4 STM8 应用例程 STM8 应用例程 4.1 STM8S 应用例程 时钟配置 STM8S 单片机的时钟树 如下图所示

58 4 STM8 应用例程 STM8S 单片机提供了灵活的时钟设计 时钟配置提要 选择主时钟源 - STM8S 有 3 个时钟源可供选择 HSE,HIS,LSI 建议使用内部 16MHz 的 RC 振荡器作为主时钟源 STM8S 在复位后 以 HIS 为主时钟 源 例子 /* fcpu=fmaster = 16MHz */ CLK_CKDIVR = 0x00; // HSIDIV[1:0]=0x00, CPUDIV[2:0]=0x GPIO 复用功能的映射是通过选项字节控制的 但是在同一时刻仅有一个复用功能可以映射到 引脚上 每个端口都分配有一个输出数据寄存器 一个输入引脚寄存器 一个数据方向寄存器 一个选择寄存器 和一个配置寄存器 一个 I/O 口工作在输入还是输出是取决于该口的数据 方向寄存器的状态 GPIO 配置表如下所示

59 4 STM8 应用例程 例子 /* PD0 控制一个 LED 灯的亮/灭 */ PD_DDR = 0x01; /* PD0 设置为输出 */ PD_CR1 = 0x01; /* 推挽输出 */ PD_CR2 = 0x00; /* 在输出模式 DDR=1 时 速度 2MHz */ PD_ODR ^= 0x01; PD_ODR ^= 0x01; /* LED 亮 */ /* LED 灭 */ ADC ADC1 框图 如下图所示

60 4 STM8 应用例程 本文以 STM8S105S4T6C 的 PB3/AIN3 为模拟输入通道 单次转换模式为例 在单次转换模式中 ADC 操作步骤 - ADC_CR1 的 CONT=1 将 ADC 设置为单次转换模式 - 通过 ADC_CSR 寄存器的 CH[3:0]选定通道 - 设置 ADC_CR1 寄存器的 ADON=1 来启动 ADC 一旦转换完成 转换后的数据存储在ADC_DR寄存器中 EOC(转换结束)标志被置位 如 果EOCIE 被置位将产生一个中断 例子 unsigned int AD_Value; PB_DDR &=~0x04; PB_CR1 &=~0x04; PB_CR1 &=~0x04; ADC_CR1 ADC_CR2 ADC_CSR /* 设置 PB3 为输入 */ /* 悬空输入 */ /* 中断禁止 */ = 0x00; = 0x00; = 0x03; /* 预分频 fadc = fmaster/2 单次转换模式 */ /* 数据左对齐 */ /* 选择 AIN3 作为输入通道 */ ADC_CR1 = 0x01; /* 启动 ADC */ /* 如果是第一次启动 ADC 则需要等待时间>7us 确保 ADC 电源稳定*/ ADC_CR1 = 0x01; /* ADON=1,启动 ADC */ while(!(adc_csr & 0x80));/* 等待转换完成(EOC=1) */ /* 读取 ADC 的结果到 AD_Value 变量 */ AD_Value = ((((unsigned int)adc_drh)<<2)+adc_drl); TIMER2 TIM 时基单元 如下图所示

61 4 STM8 应用例程 计数器使用内部时钟(fMASTER) 由CK_PSC提供 并经过预分频器分频产生计数 器时钟CK_CNT 计数器时钟频率的计算公式 fck_cnt = fck_psc/2(pscr[3:0]) 本 例 中 PSC=0 fck_cnt=16mhz 每 次 计 数 时 间 为 ms 记 时 1ms 需 要 计数初值为 =49535=0xC17F 例子 /* TIM2 时基配置 */ unsigned int ms_count; /* 定义一个 ms_count 计数变量*/ void TIM2_Init(void) { TIM2_PSCR = 0x00; TIM2_ARRH = 0xC1; TIM2_ARRL = 0x7F; TIM2_CNTRH = 0xC1; TIM2_CNTRL = 0x7F; TIM2_CR1 = 0x81; TIM2_IER = 0x01; } /* TIM2 溢出中断 */ TIM2_SR1 &=~(0x01); ms_count++; if(ms_count == 500) { PD_ODR ^= 0x01; ms_count = 0; } /* 配置 TIM2 预分频 =16 */ /* 配置 TIM2 周期 1ms 中断 */ /* 使能 TIM2. */ /* 使能 TIM2 溢出中断 */ /* 清中断标志 */ /* 0.5 秒中断 */ /* PD0 翻转 */

62 4 STM8 应用例程 EEPROM 下面是中容量STM8S的FLASH存储器和数据EEPROM组织机构 EEPROM 编程模式 字节编程和自动快速字节编程(没有擦除操作) 字编程 块编程和快速块编程(没有擦除操作)

63 4 STM8 应用例程 EEPROM 字节编程 EEPROM 在字节编程模式中 可对 EEPROM 的数据区域进行逐字地编程 应用程序直 接向目标地址写入数据 EEPROM 字节操作步骤 设定编程时间 FIX=1 为标准编程时间 一般一次编程时间为 6ms 如果 EEPROM 被 擦除过并且 FIX=0 那么变成时间为标准编程时间的一般 一般为 3ms 向 FLASH_DUKR 寄存器连续写入两个 MASS 密钥值来解除 DATA 区域的写保护 第一个硬件密钥 0b (0xAE) 第二个硬件密钥 0b (0x56) 编 程 成 功 后 EOP 位 置 1 如 果 FLASH_CR1 中 的 IE 位 预 先 使 能 只 要 标 志 位 EOP/WP_PG_DIS 中一位置 1 就会产生一个中断 volatile unsigned char /* 定义固定地址变量 */ unsigned char eeprom_value; /* 定义一个显示 EEPROM 值的变量 */ unsigned int eeprom_address; /* 定义 eeprom_address 变量 */ //定义 EEPROM 字节写 函数 void EEPROM_WRITE_BYTE(unsigned eedata) { eeprom_address = eeaddress; int eeaddress, unsigned /* 设置编程时间 FIX =1 编程时间固定为标准编程时间 tprog */ FLASH_CR1 &= (unsigned char)(~0x01); FLASH_CR1 = 0x01; /* MASS 密钥 解除 EEPROM 的保护 */ FLASH_DUKR = 0xAE; FLASH_DUKR = 0x56; *((unsigned char*) eeprom_address) = eedata; //EOP=1 EEPROM 编程结束 while((flash_iapsr & 0x04)!= 0x00); } main{ EEPROM_WRITE_BYTE(0x4000,0x77); EEPROM_WRITE_BYTE(0x4001,0x88); char

64 4 STM8 应用例程 EEPROM_WRITE_BYTE(0x4002,0x99); eeprom_address = 0x4000; eeprom_value = *((unsigned char*) eeprom_address); while(1) {_asm("nop");} } EEPROM 字编程 EEPROM 允许字编程 一次编程 4 个字节 从而缩短 EEPROM 的编程时间 EEPROM 字编程步骤 设置字编程模式 通过设置 FLASH_CR2 和 FLASH_NCR2 中的 WPRG/NWPRG 置位/清零来设置字编程 模式 向 FLASH_DUKR 寄存器连续写入两个 MASS 密钥值来解除 DATA 区域的写保护 第一个硬件密钥 0b (0x56) 第二个硬件密钥 0b (0xAE) 编程成功后 EOP 位置 1 void EEPROM_WRITE_WORD(unsigned int eeaddress, eedata) { /* 设置编程时间 */ FLASH_CR1 &= (unsigned char)(~0x01); FLASH_CR1 = 0x01; unsigned /* MASS 密钥 解除 EEPROM 的保护 */ FLASH_DUKR = 0xAE; FLASH_DUKR = 0x56; /* 设置字编程模式 */ FLASH_CR2 = 0x40; FLASH_NCR2 &= (unsigned char)(~0x40); /* 从低地址开始写入 4 个字节数据 */ *((unsigned char *)eeaddress) = *(((unsigned char *)eeaddress) + 1) = *(((unsigned char *)eeaddress) + 2) = *(((unsigned char *)eeaddress) + 3) = (eedata); (eedata+1); (eedata+2); (eedata+3); char

65 4 STM8 应用例程 while((flash_iapsr & 0x04)!= 0x00); //EOP=1 EEPROM 编程结束 } main{ EEPROM_WRITE_WORD(0x4000,0x11); while(1) {_asm("nop");} } EEPROM 块编程 EEPROM块编程操作允许一次对整个块(128个字节)进行编程 整个块在编程前被自动擦 除 但块编程操作一定要在RAM中运行 EEPROM块操作步骤 1. 设置块操作编程时间 2. 向 FLASH_DUKR 寄存器连续写入两个 MASS 密钥值来解除 DATA 区域的写保护 第一个硬件密钥 0b (0xAE) 第二个硬件密钥 0b (0x56) 3. 设置块编程模式 通过设置 FLASH_CR2 和 FLASH_NCR2 中的 PRG/NPRG 位预先置位/清零来使能标准块编程 4. 块编程 向EEPROM的目标地址依次写入要编程的数据 这样数据会被锁存在内部缓存中 为编程 整个块 每个块中的所有字节都需要被写入数据 所有被写入缓存的数据必须位于同一 个块中 当目标块的最后一个字节被装载到缓存后 编程就自动开始了 5. 编程成功后 可通过 HVOFF 和 EOP 位来判断是否完成编程操作 STM8 的块大小是不 同的 如下表 STM8 系列单片机 低密度 中密度 高密度 块大小 64 个字节 128 个字节 128 个字节

66 4 STM8 应用例程 本例程以中高密度的STM8为例 写第一块(128字节/块) /* 在 RAM 中定义一个数组 用于存放 EEPROM 的写操作代码 */ unsigned char eeprom_write_block_in_ram[100]; void EEPROM_WRITE_BLOCK(void) { unsigned char count; unsigned int eeaddress_start; eeaddress_start = 0x4000; /* 设置编程时间 */ FLASH_CR1 &= (unsigned char)(~0x01); FLASH_CR1 = 0x01; /* MASS 密钥 解除 EEPROM 的保护 */ FLASH_DUKR = 0xAE; FLASH_DUKR = 0x56; /* 设置块编程模式 */ FLASH_CR2 = 0x01; FLASH_NCR2 &= (unsigned char)(~0x01); for (count = 0; count < 128; count++) { /* 本例子中在第一个 128 块中 写入 0x99 数据. 可根据需要写入需要的数据 * / *((unsigned char *)(eeaddress_start + count)) = 0x99; } //判断 EEPROM 块操作是否完成 /* STM8S103,STM8S903 属于低容量 其 BLOCK 的大小为 64 字节 */ //while((flash_iapsr & 0x04)!= 0x00); //EOP=1 EEPROM 编程结束 /* STM8S208,STM8S207,STM8S105 是中大容量,其 BLCOK 大小为 128 个字节*/ while ((FLASH_IAPSR & 0x40)!= 0x00 ); //HVOFF=1 高压结束 } // /* 将块写函数 EEPROM_WRITE_BLOCK 拷贝到 RAM 中*/

67 4 STM8 应用例程 void COPY_EEPROMWRITEBLOCK_INTO_RAM(void) { unsigned char eerom_count; eerom_count=0; while ( *((unsigned char*)eeprom_write_block + eerom_count)!= 0x81 ) { eeprom_write_block_in_ram[eerom_count] = *((unsigned char*)eeprom_write_block + eerom_count); eerom_count++; } eeprom_write_block_in_ram[eerom_count] = 0x81;//RET 指令 0x81 } main() { /* 调用将 EEPROM 写操作代码拷贝到 RAM 中 */ COPY_EEPROMWRITEBLOCK_INTO_RAM(); /*调用 RAM 中的 EEPROM 写操作函数*/ //_asm("call _eeprom_write_block_in_ram"); //使用 COSMIC C 编译器 asm("call eeprom_write_block_in_ram"); //使用 IAR 编译器 _asm("nop"); while (1) { _asm("nop"); _asm("nop"); } } 注意 - 对 EEPROM 的块操作 一次写入 128 字节 其块写操作代码必须在 RAM 中运行 需要将 EEPROM 块写操作代码 拷贝到 RAM 中运行 在调用 RAM 调用块写操作代码 完成块写操作 但要注意 IAR 和 COSMIC 运行 RAM 中的代码的方式不同 在 COSMIC C 编译器中 STVD+COSMIC 调用语句如下 _asm("call _eeprom_write_block_in_ram"); 在 IAR C 编译器中 调用语句如下 asm("call eeprom_write_block_in_ram");

68 4 STM8 应用例程 STM8L 和 STM8A 应用例程 可参考 STM8S 的应用例程 5 STM8 开发工具 目前 ST 有两款开发工具可以支持 STM8 的开发 工具有 STX-RLINK 和 ST-LINK 其中 ST-LINK 是 ST 的开发工具 其也支持 STM32 和 STM8 两个产品系列 5.1 ST-LINK ST-LINK 是在线调试器和编程器 可用于 STM8 系列和 STM32 系列的设计开发生产 可满足用户大部分的应用开发和生产 ST-LINK 提供的接口 接口方式 SWIM 描述 用于开发 STM8 系列产品 ST-LINK 目前支持的开发环境 开发环境 ST Visual Develop (STVD) IAR EWSTM8 COSMIC 描述 用于开发 STM8 系列产品 用于开发 STM8 系列产品 用于开发 STM8 系列产品

69 5 STM8 开发工具 ST-LINK 与 STM8 系列对应的引脚连接 ST-LINK 引线 TVCC 线 SWIM 线 GND 线 SWIM-RST STM8 的引脚 MCU VCC 电源引脚 MCU SWIM 引脚 MCU 的 GND 电源地 MCU 复位引脚 ST-LINK 与 STM8 目标板连接如下图所示 注意 在调试或编程时 要给目标板提供外部的电源 5.2 STX-RLINK STX-RLINK 是 Raisonance 公司提供的第三方开发工具 STX-RLINK 是一个低成本的 调试器/编程器 可以支持 STM32, STR9,STR7,STM8,ST7 和 upsd STM8 使用 SWIM 接 口调试/编程 STM8: 4-pin SWIM

70 5 STM8 开发工具 STX-RLINK 连接说明 SWIM 连接器 信号 VCC SWIMDATA 4PINs PINs ,3,5,10 4 GND RST 24PINs 6, 12, 15 (All three pins must be connected.) 3,4,10,17,19,21,22 9 注意 - 如果在目标板上没有上拉电阻 SWIMDATA 上需要增加一个 2K2 的上拉电阻 - 需要在目标板上外加 5V 电源

71 6 STM8 EMC 设计注意事项 STM8 EMC 设计注意事项 Current C+/- 10uF C+/- 10uF VDDA + C+/- 10uF C104 (3-5.5V) VSSA C+/10uF Un U1 VREF+ (2.75-VDDA) VREF- (VSS-0.5V) VCAP C630nF-1uF A/D converter C104 C104 C104 - VDD C+/- 10uF 3-5.5V 1.8V Main Voltage Regulator C104 VDDIO Low Power Voltage Reg V MCU core CPU RAM FLASH I/O buffers C+/- 10uF C104 VDD NRST C104 Current STM8 1) 2) - 3) 4) - 5) 6) VCAP 电容 STM8S 的 VCAP 电容是一个很特别的电容 它是 STM8S 的内核的工作电压 需要在外 部加一个外部的电容 以保证内核工作电压的稳定 一般推荐 1uF 的瓷片电容 而且 1uF 的瓷片电容在进行 PCB 布线时 必须要尽可能地靠近 VCAP 引脚 一直靠近到不 能再靠近为止 这一点非常非常重要 切记 切记 STM8L 系列上没有此电容 电源 VDD 和 VSS 电源引脚上 建议加上退耦电容(10uF 点解电容和 0.1uF 瓷片电容) 在用电源对 VDDIO_x 和 VSSIO_x 的引脚上 建议加上退耦电容(10uF 点解电容和 0.1uF 瓷片电容) 或者至少加上一个 0.1uF 瓷片电容 若在电路中 有用到外部的设备 如 FLASH, 24C02 等 建议在其电源上加上退耦电 容(10uF 点解电容和 0.1uF 瓷片电容) 或者至少加上一个 1uF 瓷片电容 最好不要使其与 MCU 共地 地线 在开始 PCB 布线前 需要全局考虑 GND 的走向 在设计中注意电流回路 特别是 MCU 电流回路要与其他大电流的回路分开 不建议 GND 走过孔 过孔在线路中有阻抗 容易造成的 GND 电势不同 尽可能 GND 布在 PCB 的一面上 不建议在 GND 线上加跳 线连接 复位 对于 STM8 的应用 NRST 复位脚 因内部有一个弱上拉电阻 在应用时可复位电路可 只用一个外部的瓷片电容就(一般在 100nF-0.1uF)就可以 也可按照通常的方式加一个 上拉电阻 4.7K-10K SWIM 调试接口 建议在 SWIM 引脚上 接一个上拉电阻 以保持其数据可靠稳定 4.7K-10K STM8 时钟 建议采用内部的 RC 时钟作为主时钟 针对一些 STM8S 产品 使用外部时钟, MCU 的 抗干扰性能稍弱 又对时钟精度有特别的要求 可用外部的时钟作为一个参考时钟去校 验内部的 RC 时钟 仍使用内部 RC 作为主时钟 可避免使用外部时钟抗干扰的问题

STM8系列单片机入门

STM8系列单片机入门 ST( ( 意法半导体 ) 8 位微控制器系列 STM8 系列单片机入门 2011-06-15 目录 1 STM8 系列微控制器简介...3 1.1 STM8S 系列...3 1.2 STM8L 系列...5 1.3 STM8A 系列...7 1.4 STM8 系列微控制器网站...9 2 STM8 集成开发环境简介...10 2.1 ST TOOLSET...10 2.2 COSMIC...11

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

STM8单片机入门

STM8单片机入门 ST( 意法半导体 ) 8 位微控制器系列 STM8 系列单片机入门 王志杰 2014-06-13 1 STM8 系列微控制器简介 目录 STM8 系列单片机入门... 1 1 STM8 系列微控制器简介... 4 1.1 STM8S 系列... 6 1.2 STM8L 系列... 8 1.3 STM8A 系列... 10 2 STM8 集成开发环境简介... 12 2.1 ST TOOLSET...

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

I

I 机 电 一 级 注 册 建 造 师 继 续 教 育 培 训 广 东 培 训 点 网 上 报 名 操 作 使 用 手 册 (2013 年 1 月, 第 一 版 ) 第 一 章 个 人 注 册 与 个 人 信 息 管 理 1. 个 人 注 册 ( 请 每 人 只 申 请 一 个 注 册 号, 如 果 单 位 批 量 报 班 单 位 帮 申 请 注 册, 不 需 个 人 再 注 册 ) 首 次 报 班,

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

第 一 部 分 MagiCAD for Revit 安 装 流 程

第 一 部 分 MagiCAD for Revit 安 装 流 程 MagiCAD 软 件 安 装 流 程 MagiCAD v2015.4 for Revit 广 联 达 软 件 股 份 有 限 公 司 BIM 中 心 编 写 2015 年 06 月 第 一 部 分 MagiCAD for Revit 安 装 流 程 一 安 装 前 需 要 确 认 的 内 容 安 装 MagiCAD 程 序 之 前, 请 您 先 确 定 以 下 事 宜 1. 当 前 用 户 账 户

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

联想电子订单操作指南

联想电子订单操作指南 天 威 诚 信 比 亚 迪 数 字 证 书 下 载 及 使 用 手 册 天 威 诚 信 数 字 认 证 中 心 itruschina Co., Ltd 第 1 页 共 14 页 1 概 述 是 经 信 息 产 业 部 批 准 的 全 国 性 PKI/CA 企 业, 是 专 门 从 事 数 字 信 任 服 务 PKI/CA 建 设 服 务 PKI/CA 应 用 服 务 PKI/CA 运 营 管 理 咨

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

目 录 一 系 统 访 问... 1 二 门 户 首 页 申 报 用 户 审 核 用 户... 2 三 系 统 登 录 用 户 名 密 码 登 录 新 用 户 注 册 用 户 登 录 已 注 册 用

目 录 一 系 统 访 问... 1 二 门 户 首 页 申 报 用 户 审 核 用 户... 2 三 系 统 登 录 用 户 名 密 码 登 录 新 用 户 注 册 用 户 登 录 已 注 册 用 水 路 运 输 建 设 综 合 管 理 信 息 系 统 - 门 户 系 统 用 户 手 册 二 零 一 五 年 十 一 月 目 录 一 系 统 访 问... 1 二 门 户 首 页... 1 1. 申 报 用 户... 1 2. 审 核 用 户... 2 三 系 统 登 录... 4 1. 用 户 名 密 码 登 录... 4 1.1 新 用 户 注 册... 4 1.2 用 户 登 录... 7

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

STM8L IAP 应用程序中编程指导

STM8L  IAP 应用程序中编程指导 STM8L IAP 使用说明 前言 本篇主要介绍 STM8Lxxxx 如何实现在应用程序中编程 (In-application programming) 1. IAP user Flash 分配框图及中断向量表重定向 0x8000 0x8080 0x9000 中断向量表重定向 0x9080 图 1:IAP 代码在 User Flash 中的空间分配 注意 : 1 和 2 为 IAP 代码空间 为客户自己的

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

网络保险箱客户端使用手册

网络保险箱客户端使用手册 用 友 U8(872 版 本 ) CA 安 装 配 置 手 册 V0.1 北 京 天 威 诚 信 电 子 商 务 服 务 有 限 公 司 2008 年 10 月 1 声 明 : Copyright 2008 北 京 天 威 诚 信 电 子 商 务 服 务 有 限 公 司 版 权 所 有, 保 留 一 切 权 利 非 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

moza_ctc_64021.pdf

moza_ctc_64021.pdf 访 问 CTC 的 多 个 Java 插 件 安 装 目 录 简 介 先 决 条 件 要 求 使 用 的 组 件 规 则 背 景 信 息 多 Java 插 件 版 本 浏 览 器 信 息 Mozilla 安 装 Java 插 件 安 装 与 ONS15454 和 15327 的 JRE 兼 容 性 J2SE JRE 设 置 J2SE JRE 版 本 2 J2SE JRE 版 本 3.1 J2SE JRE

More information

第3章 创建数据库

第3章 创建数据库 第 3 章 创 建 数 据 库 要 点 3.1 Access 2002 开 发 环 境 3.2 数 据 库 的 创 建 3.3 创 建 表 3.4 字 段 操 作 3.5 设 定 表 关 系 3.6 操 作 记 录 3.7 数 据 的 导 入 和 导 出 3.1 Access 2002 开 发 环 境 Access 与 许 多 常 用 的 数 据 库 管 理 系 统, 如 Access 常 用 的

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

全国艺术科学规划项目

全国艺术科学规划项目 全 国 艺 术 科 学 规 划 项 目 网 上 申 报 常 见 问 题 及 解 决 方 法 目 录 一 申 报 流 程...4 二 立 项 流 程...5 三 常 见 问 题 与 处 理...6 (1). 如 何 注 册...6 (2). 系 统 中 没 有 我 的 单 位 怎 么 办?...7 (3). 在 注 册 时 写 错 身 份 证 号 名 字 而 系 统 中 不 能 修 改 怎 么 办?...

More information

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知 第 卷 第 期 年 月 开 放 教 育 研 究 何 秋 琳 张 立 春 华 南 师 范 大 学 未 来 教 育 研 究 中 心 广 东 广 州 随 着 图 像 化 技 术 和 电 子 媒 体 的 发 展 视 觉 学 习 也 逐 步 发 展 为 学 习 科 学 的 一 个 研 究 分 支 得 到 研 究 人 员 和 教 育 工 作 者 的 广 泛 关 注 基 于 此 作 者 试 图 对 视 觉 学 习

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1 系 统 管 理 员 登 陆... 4 2.2 班

目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1 系 统 管 理 员 登 陆... 4 2.2 班 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 使 用 说 明 书 制 作 : 北 京 博 导 前 程 信 息 技 术 有 限 公 司 目 录 第 一 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 硬 件 使 用 介 绍... 3 第 二 章 博 星 卓 越 电 子 商 务 营 销 策 划 实 践 平 台 管 理 员 端 功 能 使 用 介 绍... 4 2.1

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

目 录 1 电 子 印 章 签 章 系 统 组 成...2 2 系 统 运 行 环 境...2 2.1 客 户 端 软 件 环 境...2 2.2 客 户 端 硬 件 环 境...2 3 系 统 安 装...3 4 设 备 安 装...7 4.1 指 纹 仪 U 盘 安 装...7 4.2 飞 天

目 录 1 电 子 印 章 签 章 系 统 组 成...2 2 系 统 运 行 环 境...2 2.1 客 户 端 软 件 环 境...2 2.2 客 户 端 硬 件 环 境...2 3 系 统 安 装...3 4 设 备 安 装...7 4.1 指 纹 仪 U 盘 安 装...7 4.2 飞 天 百 成 电 子 印 章 系 统 标 准 客 户 端 (For Acrobat) 用 户 手 册 Version 7.1 百 润 百 成 集 团 2011 年 7 月 目 录 1 电 子 印 章 签 章 系 统 组 成...2 2 系 统 运 行 环 境...2 2.1 客 户 端 软 件 环 境...2 2.2 客 户 端 硬 件 环 境...2 3 系 统 安 装...3 4 设 备 安 装...7

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

第 9 章 如 果 打 印 机 通 过 FireWire 连 接, 则 HP 建 议 使 用 FireWire 模 块 添 加 打 印 机, 以 充 分 利 用 FireWire 打 印 的 各 项 功 能, 并 且 仅 使 用 IP over Firewire 访 问 打 印 机 的 内 嵌 式

第 9 章 如 果 打 印 机 通 过 FireWire 连 接, 则 HP 建 议 使 用 FireWire 模 块 添 加 打 印 机, 以 充 分 利 用 FireWire 打 印 的 各 项 功 能, 并 且 仅 使 用 IP over Firewire 访 问 打 印 机 的 内 嵌 式 9 如 何 访 问 内 嵌 式 Web 服 务 器? 内 嵌 式 Web 服 务 器 允 许 您 使 用 运 行 在 任 意 计 算 机 上 的 普 通 Web 浏 览 器 远 程 管 理 打 印 机 和 打 印 作 业 注 意 要 使 用 内 嵌 式 Web 服 务 器, 打 印 机 必 须 是 TCP/IP 连 接 如 果 您 的 打 印 机 是 Appletalk Novell 连 接 或 非

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

第二次实习报告

第二次实习报告 第 二 次 实 习 报 告 一 实 习 目 的 1. 熟 悉 查 询 要 素 (feature) 的 三 种 基 本 途 径 ; 2. 熟 悉 属 性 记 录 查 询 的 基 本 途 径 ; 3. 熟 悉 空 间 关 系 的 查 询 二 实 习 内 容 1. 点 击 式 要 素 查 询 (Identify), 点 击 开 窗 选 择 查 询 (Select feature), 绘 图 查 询 (Select

More information

(Microsoft Word - NCRE\314\345\317\265\265\367\325\37313\324\27221\272\3051.doc)

(Microsoft Word - NCRE\314\345\317\265\265\367\325\37313\324\27221\272\3051.doc) 川 教 考 院 2013 21 号 全 国 计 算 机 等 级 考 试 调 整 方 案 2011 年 7 月, 教 育 部 考 试 中 心 组 织 召 开 了 第 五 届 全 国 计 算 机 等 级 考 试 NCRE 考 委 会 会 议, 会 议 完 成 NCRE 考 委 会 换 届 选 举, 并 确 定 了 下 一 步 改 革 和 发 展 的 目 标 在 新 的 历 史 时 期,NCRE 将 以

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

 

                                  第 一 章 概 述 1.1 功 能 特 点 LED Player V3.3 是 本 公 司 新 推 出 的 一 套 专 为 LED 显 示 屏 设 计 的 功 能 强 大, 使 用 方 便, 简 单 易 学 的 节 目 制 作 播 放 软 件, 支 持 多 种 文 件 格 式 : 文 本 文 件,WORD 文 件, 图 片 文 件 (BMP/JPG/GIF/JPEG...), 动 画 文 件 (SWF/Gif)

More information

Microsoft Word - Mechrevoæ•¥æŁ‚ä¸�å¿…æfi“作曉僄 (003).docx

Microsoft Word - Mechrevoæ•¥æŁ‚ä¸�å¿…æfi“作曉僄 (003).docx Mechrevo 急 救 中 心 用 户 手 册 引 言 声 明 重 要 声 明 为 了 帮 助 用 户 更 好 地 了 解 和 使 用 该 软 件, 提 高 用 户 与 软 件 的 亲 和 度, 使 用 前, 请 阅 读 Mechrevo 急 救 中 心 用 户 手 册, 以 确 保 理 解 后 正 确 的 使 用 用 户 手 册 讲 述 怎 样 安 装 配 置 和 使 用 Mechrevo 急

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

RG-SU V4.44使用说明书.doc

RG-SU V4.44使用说明书.doc 锐 捷 认 证 客 户 端 使 用 说 明 书 福 建 星 网 锐 捷 网 络 有 限 公 司 福 州 市 金 山 大 道 618 号 橘 园 洲 19#-22# 星 网 锐 捷 科 技 园 邮 编 :350002 技 术 支 持 网 站 :http://support.ruijie.com.cn 技 术 支 持 信 箱 :service@ruijie.com.cn 技 术 支 持 热 线 :4008-111-000

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

修改版-操作手册.doc

修改版-操作手册.doc 职 称 信 息 系 统 升 级 指 南 须 使 用 IE9 及 其 以 上 版 本 浏 览 器 或 谷 歌 浏 览 器 登 录 www.njrs.gov.cn 南 京 市 职 称 ( 职 业 资 格 ) 工 作 领 导 小 组 办 公 室 2016 年 5 月 目 录 一 申 报 人 员 操 作 指 南...1 1.1 职 称 初 定 申 报...1 1.1.1 职 称 初 定 基 础 信 息 填

More information

øÕªß∂À≤Ÿ◊˜ ÷≤·

øÕªß∂À≤Ÿ◊˜ ÷≤· 用 户 使 用 手 册 ( 版 本 号 :4.0) 目 录 第 一 章 软 件 安 装... 1 第 二 章 软 件 的 运 行... 4 第 三 章 新 建 文 档... 7 3.1 第 一 部 分 简 表... 7 3.1.1 基 本 信 息... 7 3.1.2 从 事 专 业 关 键 词... 8 3.1.3 教 育 经 历... 8 3.1.4 工 作 经 历... 9 3.1.5 教 育

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富 士 通 半 导 体 ( 上 海 ) 有 限 公 司 应 用 笔 记 MCU-AN-500056-Z-10 F²MC-8FX 家 族 8 位 微 型 控 制 器 MB95200 系 列 电 子 保 险 箱 参 考 方 案 应 用 笔 记 修 改 记 录 修 改 记 录 版 本 日 期 作 者 修 改 记 录 1.0 2009-11-4 Kevin Lin 初 稿 本 手 册 包 含 22 页 1.

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

《C语言基础入门》课程教学大纲

《C语言基础入门》课程教学大纲 C 语 言 开 发 入 门 教 程 课 程 教 学 大 纲 课 程 编 号 :201409210011 学 分 :5 学 分 学 时 :58 学 时 ( 其 中 : 讲 课 学 时 :39 学 时 上 机 学 时 :19 学 时 ) 先 修 课 程 : 计 算 机 导 论 后 续 课 程 :C++ 程 序 设 计 适 用 专 业 : 信 息 及 其 计 算 机 相 关 专 业 开 课 部 门 : 计

More information

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类 全 国 计 算 机 等 级 考 试 调 整 方 案 2011 年 7 月, 教 育 部 考 试 中 心 组 织 召 开 了 第 五 届 全 国 计 算 机 等 级 考 试 (NCRE) 考 委 会 会 议, 会 议 完 成 NCRE 考 委 会 换 届 选 举, 并 确 定 了 下 一 步 改 革 和 发 展 的 目 标 在 新 的 历 史 时 期,NCRE 将 以 保 持 稳 定 为 前 提 以

More information

目 录 系 统 概 述... 4 第 一 部 分 Windwos 笔 记 本 台 式 机 使 用 说 明... 5 1. 云 桌 面 客 户 端 安 装... 5 2. 系 统 登 录 与 退 出... 10 2.1. 登 录 云 桌 面... 10 2.2. 退 出 云 桌 面... 12 3.

目 录 系 统 概 述... 4 第 一 部 分 Windwos 笔 记 本 台 式 机 使 用 说 明... 5 1. 云 桌 面 客 户 端 安 装... 5 2. 系 统 登 录 与 退 出... 10 2.1. 登 录 云 桌 面... 10 2.2. 退 出 云 桌 面... 12 3. 天 津 大 学 桌 面 云 平 台 用 户 使 用 手 册 二 〇 一 五 年 九 月 目 录 系 统 概 述... 4 第 一 部 分 Windwos 笔 记 本 台 式 机 使 用 说 明... 5 1. 云 桌 面 客 户 端 安 装... 5 2. 系 统 登 录 与 退 出... 10 2.1. 登 录 云 桌 面... 10 2.2. 退 出 云 桌 面... 12 3. 云 桌 面 使

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

STM8 的 C 语言编程 (1)-- 基本程序与启动代码分析 现在几乎所有的单片机都能用 C 语言编程了, 采用 C 语言编程确实能带来很多好处, 至少可读性比汇编语言强多了 在 STM8 的开发环境中, 可以通过新建一个工程, 自动地建立起一个 C 语言的框架, 生成后开发环境会自动生成 2 个

STM8 的 C 语言编程 (1)-- 基本程序与启动代码分析 现在几乎所有的单片机都能用 C 语言编程了, 采用 C 语言编程确实能带来很多好处, 至少可读性比汇编语言强多了 在 STM8 的开发环境中, 可以通过新建一个工程, 自动地建立起一个 C 语言的框架, 生成后开发环境会自动生成 2 个 STM8 C 语言编程与模块使用范例 目录 STM8 的 C 语言编程 (1)-- 基本程序与启动代码分析 STM8 的 C 语言编程 (2)-- 变量空间的分配 STM8 的 C 语言编程 (3) GPIO 输出 STM8 的 C 语言编程 (4) GPIO 输出和输入 STM8 的 C 语言编程 (5)--8 位定时器应用之一 STM8 的 C 语言编程 (6)--8 位定时器应用之二 STM8

More information

注 意 : 如 上 图 所 示, 网 上 缴 费 (12 月 3 日 至 10 日 ) 与 春 季 高 考 现 场 确 认 工 作 (12 月 3 日 至 6 日 ) 同 时 进 行, 没 有 经 过 现 场 确 认 和 确 认 信 息 没 有 上 传 到 服 务 器 的 考 生 不 能 网 上 缴

注 意 : 如 上 图 所 示, 网 上 缴 费 (12 月 3 日 至 10 日 ) 与 春 季 高 考 现 场 确 认 工 作 (12 月 3 日 至 6 日 ) 同 时 进 行, 没 有 经 过 现 场 确 认 和 确 认 信 息 没 有 上 传 到 服 务 器 的 考 生 不 能 网 上 缴 山 东 省 2016 年 普 高 报 名 网 上 缴 费 使 用 说 明 1 概 述 2016 年 我 省 普 高 报 名 工 作 继 续 推 进 网 上 缴 费, 增 加 了 高 水 平 专 项 测 试 费 ( 已 完 成 ) 体 育 专 业 测 试 费 以 及 青 岛 市 考 生 各 类 报 考 费 也 全 部 网 上 缴 纳, 并 启 用 了 山 东 财 政 非 税 收 入 新 缴 费 平 台

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft Word - Disclose.doc

Microsoft Word - Disclose.doc 三 甲 金 融 委 托 终 端 港 股 使 用 说 明 - 1 - 1. 基 本 功 能 介 绍 1.1 登 录 界 面 简 介 1.1.1 登 录 模 式 (1) 嵌 入 行 情 软 件 中 运 行 模 式 : 点 击 大 智 慧 行 情 软 件 顶 端 的 委 托 菜 单 选 项 或 者 登 录 新 一 代 行 情 软 件 后 按 F12 快 捷 键 弹 出 委 托 登 录 窗 口 如 图 1-1

More information

Matlab和应用数学1.ppt [兼容模式]

Matlab和应用数学1.ppt [兼容模式] 第 1 章 Matlab 概 述 上 课 日 期 :2007.7.12 2007.7.18 教 学 方 法 : 授 课 上 机 练 习 和 自 学 相 结 合 授 课 教 师 : 梁 克 维 (matlkw@zju.edu.cn) 上 上 课 : 上 午 8:30 11:30, 西 1-204 机 : 下 午 1:00-4:00, 计 算 机 中 心 1 Matlab 工 作 环 境 界 面 1.

More information

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用 Visual Basic 程 序 设 计 A 级 分 级 班 教 学 大 纲 ( 供 计 算 机 与 信 息 技 术 基 础 课 程 分 级 教 学 A 级 班 使 用 ) I 前 言 Visual Basic 程 序 设 计 课 程 是 一 门 计 算 机 语 言 基 础 课 程 通 过 对 该 课 程 的 学 习, 使 学 生 初 步 掌 握 Visual Basic 的 语 言 特 点, 掌

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

云信Linux SSH认证代理用户手册

云信Linux SSH认证代理用户手册 Windows 主 机 登 录 保 护 (RDP) 管 理 员 配 置 手 册 V1.0 云 信 事 业 部 飞 天 诚 信 科 技 股 份 有 限 公 司 www.cloudentify.com 章 节 目 录 第 1 章 管 理 平 台 配 置 说 明... 1 1.1 注 册... 1 1.2 登 录... 3 1.3 添 加 应 用... 4 1.4 添 加 用 户... 7 1.5 激 活

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

联想电子订单操作指南

联想电子订单操作指南 天 威 诚 信 联 想 数 字 证 书 下 载 及 使 用 手 册 天 威 诚 信 数 字 认 证 中 心 itruschina Co., Ltd 第 1 页 共 10 页 1 概 述 是 经 信 息 产 业 部 批 准 的 全 国 性 PKI/CA 企 业, 是 专 门 从 事 数 字 信 任 服 务 PKI/CA 建 设 服 务 PKI/CA 应 用 服 务 PKI/CA 运 营 管 理 咨 询

More information

用节点法和网孔法进行电路分析

用节点法和网孔法进行电路分析 运 用 节 点 法 和 网 孔 法 进 行 电 路 分 析 众 所 周 知, 运 用 基 尔 霍 夫 定 律 和 欧 姆 定 律, 我 们 可 以 对 任 何 一 个 电 路 进 行 分 析, 以 确 定 其 运 行 条 件 ( 电 流 和 电 压 值 ) 一 般 电 路 分 析 的 难 点 在 于 用 最 少 的 联 立 方 程 描 述 电 路 的 运 行 特 性 在 这 一 讲 里, 我 们 将

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

登录、注册功能的测试用例设计.doc

登录、注册功能的测试用例设计.doc 注 册 登 陆 测 试 用 例 和 修 改 密 码 测 试 用 例 完 整 版 摘 自 网 络, 狗 狗 整 理 zqh139@126.com 修 改 历 史 日 期 版 本 作 者 修 改 内 容 评 审 号 变 更 控 制 号 2010-11-25 1.0 初 稿 2011-09-17 2.0 整 理 一 注 册 测 试 用 例 序 号 : 1 控 件 名 称 : 功 能 描 述 : 注 册 编

More information

第2章 数据类型、常量与变量

第2章  数据类型、常量与变量 第 2 章 数 据 类 型 常 量 与 变 量 在 计 算 机 程 序 中 都 是 通 过 值 (value) 来 进 行 运 算 的, 能 够 表 示 并 操 作 值 的 类 型 为 数 据 类 型 在 本 章 里 将 会 介 绍 JavaScript 中 的 常 量 (literal) 变 量 (variable) 和 数 据 类 型 (data type) 2.1 基 本 数 据 类 型 JavaScript

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

学位论文文档编辑与提交

学位论文文档编辑与提交 学 位 论 文 文 档 编 辑 与 提 交 江 苏 师 范 大 学 图 书 馆 2015.11.05 一 WORD 文 档 编 辑 二 论 文 提 交 及 注 意 事 项 一 文 档 编 辑 word 文 档 的 合 成 1 通 过 添 加 分 节 符 创 建 文 档 章 节 2 在 章 节 中 创 建 不 同 的 页 眉 和 页 脚 3 目 录 创 建 一 文 档 编 辑 word 文 档 的 合

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Robotics Studio 使 用 手 册 1 目 录 第 一 章 MSRS 安 装 与 使 用 1.1 MSRS 下 载 2 1.2 MSRS 系 统 运 行 要 求 2 1.3 MSRS 安 装 3 1.4 配 置 MSRS 与 NXT 的 蓝 牙 连 接 4 第 二 章

Microsoft Robotics Studio 使 用 手 册 1 目 录 第 一 章 MSRS 安 装 与 使 用 1.1 MSRS 下 载 2 1.2 MSRS 系 统 运 行 要 求 2 1.3 MSRS 安 装 3 1.4 配 置 MSRS 与 NXT 的 蓝 牙 连 接 4 第 二 章 Microsoft Robotics Studio For LEGO Mindstorms NXT 使 用 手 册 Nov. 2007 Microsoft Robotics Studio 使 用 手 册 1 目 录 第 一 章 MSRS 安 装 与 使 用 1.1 MSRS 下 载 2 1.2 MSRS 系 统 运 行 要 求 2 1.3 MSRS 安 装 3 1.4 配 置 MSRS 与 NXT

More information