UM1472用户手册 - STM32F4DISCOVERY

Size: px
Start display at page:

Download "UM1472用户手册 - STM32F4DISCOVERY"

Transcription

1 UM1472 用户手册 STM32F4高性能探索板 简介 帮助你探索发现 STM32F4 的高性能特性 并帮助你开发应用 基于 STM32F407VGT6 芯片 包括一个板载的有嵌入式调试工具接 口的 ST-LINK/V2 ST MEMS 数字加速器 ST MEMS 数字麦克风 音频 DAC 集成了 class D 扬声器驱动器 LED 灯 按钮和一个 USB OTG 的 micro-ab 连接器 图 1

2 系统要求 目录 1 约定 快速入门...6 开始 系统要求 支持 的开发工具链 订单编码 特性 硬件和布局 STM32F407VGT6 微控制器 嵌入的 ST-LINK/V 使用 ST-LINK/V2 编程/调试板上 STM32F 使用 ST-LINK/V2 编程/调试外部 STM32 应用 电源和电源选择 LED 按键 板上音频功能 支持 USB OTG 运动传感器 ST MEMS LIS302DL JP1 (Idd) 锡桥 扩展连接 机械制图 电气原理图

3 电气原理图 表列 表 表 表 表 表 -3-1 ON/OFF 约定 跳线状态 调试连接器 CN2 (SWD) 锡桥 MCU 引脚描述对应板子功能...19

4 系统要求 图列 图 图 图 图 图 图 图 图 图 图 图 图 图 图 图 图 硬件框图 顶层布局 底层布局 STM32F407VGT6 封装 STM32F407VGT6 框图 典型配置 连接图 ST-LINK 连接图 机械制图 ST-LPINK/V2(SWD only) MCU Audio USB_OTG_FS Peripherals

5 电气原理图 1 约定 表 1 提供了在本文中使用的一些约定的定义 表 1 ON/OFF 约定 约定 跳线 JP1 ON 跳线 JP1 OFF 锡桥 SBx ON 锡桥 SBx OFF -5- 定义 跳线安装 跳线不安装 SBx 连接短路 SBx 连接开路

6 系统要求 2 快速入门 是一个低成本 易于使用的开发套件 快速评估并开始使用 STM32F4 高性能微控制器的进行开发 在安装和使用产品之前 请接受评估产品许可协议 更多的关于 信息和演示软件 请访问: 开始 按照下面的顺序配置 板 开始启动 DISCOVERY 应用 检查板上跳线位置 JP1 ON CN3 ON 选择 DISCOVERY 用一根 USB 线 type A to mini-b 连接 板子到 PC 通过 USB 连接 器 CN1 给板子供电 红色 LED LD2 PWR 开始闪烁 B1 和 B2 两个按钮之间的 4 个 LED 灯闪烁 按下用户按钮 B1 使能 ST MEMS 传感器 移动板子并根据移动方向和速度观察四个 LED 闪烁 如果是用另一根 type A to micro-b 的 USB 线连接 PC 和 CN5 连接器 那么板子就 被认为是一个标准鼠标 其运动也可以控制 PC 的光标 5. 若要学习或修改相关于这个演示的 DISCOVERY 工程项目 可以访问 探索发现 STM32F4 特点 下载执行在工程项目清单中推荐的程序 使用可用的例程 开发自己的应用 2.1 系统要求 Windows PC (XP, Vista, 7) USB type A to Mini-B USB cable 2.2 支持 的开发工具链 Altium TASKING VX-Toolset Atollic TrueSTUDIO IAR EWARM Keil MDK-ARM -6-

7 电气原理图 2.3 订单编码 若 要 购 买 STM32F4 高 性 能 的 DISCOVERY 板 使 用 的 订 单 编 码 -7-

8 系统要求 3 特性 提供如下个特点 STM32F407VGT6 微控制器有 1M 的 FLASH 存储器 192K 的 RAM LQFP100 封 装 板上的 ST-LINK/V2 可以使用选择的方式把套件切换成一个独立的 ST-LINK/V2 来 使用 用 SWD 连接器来编程和调试 板子电源 通过 USB 总线或者外部 5V 电源来供电 外部应用电源 3V 和 5V LIS302DL, ST MEMS 运动传感器 3 轴数字输出加速器 MP45DT02 ST MEMS 音频传感器 全向数字麦克风 CS43L22 音频 DAC 集成了 CLASS D 扬声器驱动器 8 个 LED 指示灯 LD1(红/绿)用于 USB 通讯 LD2 红 用于 3.3V 上电 4 个用户 LED 灯 LD3(橙) LD4(绿) LD5(红)和 LD6(蓝) 2 个 USB OTG 的 LED 灯 LD7 绿 VBus LD8 红 过流指示 2 个按钮 用户按键和复位健 USB OTG micro-ab 连接器 LQFP100 IO 口的扩展头 可以快速连接成原型板 易于探测 -8-

9 电气原理图 4 硬件和布局 是以LQFP100的STM32F407VGT6微控制器为中心来设计的 图2图示了STM32F407VGT6与其外设之间的连接 ST-LINK/V2 按钮 LED灯 音频 DAC USB ST MEMS加速器 ST MEMS麦克风以及连接器 图3和图4图示了这些功能特点在上的位置 图 2 硬件框图 -9-

10 系统要求 图 3 顶层布局 注意 CN2 CN3 JP1 P1和P2连接器的PIN1是通过一个方块来标识的 图 4 底层布局

11 电气原理图 4.1 STM32F407VGT6 微控制器 ARM Cortex-M4 32位MCU带有FPU单元 有210 DMIPS 多达1MB FLASH/192+4KB RAM USB OTG HS/FS Ethernet 17 定时器 3个ADC 15个通讯接口和一个摄像口 图 5 STM32F407VGT6 封装 微控制器提供了如下的优势 168MHz/210 DMIPS Cortex-M4 一个单周期DSP MAC和浮点单元 提升了控制算法的运行 给应用带来了更多的特点 易于使用 较好的代码效率 快速上市 简化了饱和和缩放运算 方便支持多种语言工具 为高性能和超快速数据传送而设计 ART加速器 32位 7层AHB总线矩阵 7个主 的 8个从的 包括2个SRAM块 多个DMA控制器 通用的2个 USB HS的1个 Ethernet的1个 一个内核专用SRAM块 从FLASH连续执行相当于0等待 和数据 传送以及简化的资源分配 显著的电源效率 超低动态功耗 在VBAT模式 典型地RTC<1 ua 3.6V降到1.7V VDD 电压调节器具有电源调节能力 当运行在低电压或电池供电时 应用需要 高性能和低功耗 可提供超灵活性以减少电源功耗 最高集成度 多达1M字节的片上FLASH存储器 192K字节的SRAM 复位电路 内部RC PLL等 高级和创新的外设提供新的可能性 高速数据连接和通讯 高分辨率 在STM32生态系统中开发产品 大量的工具和软件方案提供了宽范围的选择

12 系统要求 图 6 STM32F407VGT6 框图

13 电气原理图 4.2 嵌入的 ST-LINK/V2 编程和调试工具ST-LINK/V2集成在上 这个嵌入的ST-LINK/V2 可以根据跳线的状态用于2种不同的方式 见表2 编程/调试板上的MCU 编程/调试板外的MCU 用一根线连接到SWD连接器CN2 嵌入的ST-LINK/V2仅支持STM32产品的SWD接口 关于调试和编程特性的信息可参考 UM1075(ST-LINK/V2 in-circuit debugger/programmer for STM8 and STM32) UM1075详细地描述 了ST-LINK/V2的特性 图 7 典型配置 表 2 跳线状态 跳线状态 CN3 两个跳线 ON CN3 两个跳线 OFF 描述 ST-LINK/V2 功能使能 板上编程 默认 ST-LINK/V2 功能使能 应用板用外部 CN2 连接器 支持 SWD

14 系统要求 使用 ST-LINK/V2 编程/调试板上 STM32F4 如果要对板上的STM32F4编程 那么就简单地把两个跳线插入CN3就可以了 如图8红 色部分所示 但不能使用CN2连接器 因为那样可能会妨碍了与的 STM32F407VGT6通讯 图 8 连接图

15 电气原理图 使用 ST-LINK/V2 编程/调试外部 STM32 应用 使用ST-LINK/V2编程一个外部应用板上的STM32是非常容易的 从CN3上简单地拔掉2 个跳线 如图9所示 根据表3连接应用板到CN2调试连接器 注意 如果在外部的应用板中使用CN2的PIN5 那么SB11必须是OFF 表 3 调试连接器 CN2 (SWD) CN2 引脚 1 VDD_TARGET 2 SWCLK 3 GND 4 SWDIO 5 NRST 6 SWO 说明 来自应用的 VDD SWD 时钟 地线 SWD 数据输入/输出 目标 MCU 的复位 保留 图 9 ST-LINK 连接图

16 系统要求 4.3 电源和电源选择 电源通过PC的USB线来提供 或者通过一个外部的5V电源 D1和D2二极管保护来自外部电源的5V和3V引脚 当另一个应用板连接到P1和P2引脚 5V和3V可用作输出电源 在这种情况下 5V 和3V引脚传送1个5V或3V的电源 电源功耗必须小于100mA 5V可用作输入电源 例如当USB连接器没有连接PC时 在这种情况下 板子必须由一个供电单元或者通过辅助设备 遵循标准EN : 2006+A11/2009 而且必须是安全特低电压 SELV 有电源功率限制 4.4 LED LD1 COM LD1默认状态是红色 LD1变成绿色指示PC和ST-LINK/V2之间的通讯在进 行中 LD2 PWR 红色LED指示板子已供电 用户LD3 橙色LED是用户的LED 连接到STM32F407VGT6的PD13脚 用户LD4 绿色LED是用户的LED 连接到STM32F407VGT6的PD12引脚 用户LD5 红色LED是用户的LED 连接到STM32F407VGT6的PD14引脚 用户LD6 蓝色LED是用户的LED 连接到STM32F407VGT6的PD15引脚 USB LD7 当VBUS在CN5上时 绿色LED指示 连接到STM32F407VGT6的PA9引脚 USB LD8 红色LED指示CN5的VBUS的过流 连接到STM32F407VGT6的PD5引脚 4.5 按键 B1用户 用户和唤醒按键 连接到STM32F407VGT6的PA0 B2复位 按键连接到NRST 用于复位STM32F407VGT6-16 -

17 电气原理图 4.6 板上音频功能 STM32F4使用一个音频DAC(CS43L22) 通过音频mini的JACK连接器来输出声音 STM32F4通过I2C接口来控制音频DAC 通过I2S连接或者模拟输入信号来处理数字信 号 声音可独立地来自不同的输入 ST MEMS麦克风 MP45DT02 数字信号使用PDM协议或者模拟信号使用 低通滤波器 USB 连接器 来自于外部大容量存储如USB KEY USB HDD等等 STM32F4的内部存储器 声音可由音频DAC通过不同的方式输出 使用I2S协议 使用STM32F4的DAC到CS43L22的模拟输入AIN1x 经由一个低通滤波器到CS43LS22的模拟输入AIN4x 使用麦克风直接输出 4.7 支持 USB OTG 在这个板上 STM32F4 仅用于驱动 USB OTG 全速 USB micro-ab 连接器 CN5 允 许用户连接一个主机或设备部件 如一个 USB KEY 鼠标等等 有两个 LED 灯专门用于这个模块 LD7 绿色 LED 指示 当 VBUS 激活时指示 LD8 红色 LED 指示一个连接设备的过流 4.8 运动传感器 ST MEMS LIS302DL LIS302DL是一个超小的低功耗的3轴线性加速器 它包含一个感应元件和一个IC接口 能通 过I2C/SPI串行接口来提供测量的加速度给外部 LIS302DL有用户动态地可选择的±2g/±8g的全比例 有测量加速度的能力 输出100Hz或 400Hz的数据速率 STM32F4通过SPI接口来控制运动传感器

18 系统要求 4.9 JP1 (Idd) 跳线JP1 符号为Idd 允许拔掉跳线连接一个电流表来测量STM32F407VGT6的功耗 跳线ON STM32F407VGT6上电 默认 跳线OFF 必须连接一个电流表来测量STM32F407VGT6的电流 如果没有电流表 那么STM32F407VGT6不能被供电 4.10 锡桥 表 4 锡桥 状态1 桥 SB13,14 (X2 crystal)2 OFF ON SB3,5,7,9 (默认) SB2,4,6,8 (保留) SB15,16 (X3 crystal) SB1 (B2-RESET) SB20 (B1-USER) SB17 (VDD powered from3v) SB11 (NRST) 描述 X2, C14, C15, R24和R25提供一个时钟 PH0, PH1 与 P2 断开 PH0, PH1 连接到 P2 R24,R25 和 R68 不能安装 ON OFF OFF 保留 不要修改 保留 不要修改 X3, C16, C27, R21 和R22传送一个32KHz时钟 PC14, PC15 没有连接到 P2 ON ON PC14, PC15 仅被连接到 P2 仅移除 R21,R22 B2 按键连接到 STM32F407VGT6 MCU 的 NRST 引脚 OFF ON B2 按键没有连接到 STM32F407VGT6 MCU 的 NRST 引脚 B1 按键连接到 PA0 OFF OFF B1 按键不连接到 PA0 VDD 不从 3V 供电 取决于 JP1 跳线 ON VDD 一直供电 3V JP1 跳线无效 ON SB12 (SWO) ON CN2 连接器的 NRST 信号被连接到 STM32F407VGT6 MCU 的 NRST 引脚 CN2 连 接 器 的 NRST 信 号 没 有 被 连 接 到 STM32F407VGT6 MCU 的 NRST 引脚 CN2 连接器的 SWO 信号被连接到 PB3 SB10 (STM_RST) OFF OFF SWO 信号没有被连接 没有关联 STM32F103C8T6(ST-LINK/V2) NRST 信号 ON STM32F103C8T6 (ST-LINK/V2) NRST 信号连接到 GND SB18 (BOOT0) ON STM32F407VGT6 MCU 的 BOOT0 信号通过 510 欧姆下拉电阻保持低 SB19 (BOOT1) OFF OFF STM32F407VGT6 MCU 的 BOOT0 信号通过 10K 的上拉电阻保持高 STM32F407VGT6 MCU 的 BOOT1 信号通过 10K 的上拉电阻保持高 ON STM32F407VGT6 MCU 的 BOOT1 信号通过 510 欧姆下拉电阻保持低 OFF 1 2 默认 SBx 状态用黑体显示 SB13 和 SB14 是 OFF 以允许用户在 MCO 和 X2 晶振之间选择时钟源

19 电气原理图 4.11 扩展连接 公 插 头 P1 和 P2 可 以 连 接 到 一 个 标 准 的 原 型 / 包 装 板 SM32F407VGT6 的 GPIO 口在这些连接器上都是可用的 P1 和 P2 也可以用示波器 逻辑分 析仪或电压表的探针来测量 表 5 MCU 引脚描述对应板子功能

20 系统要求

21 电气原理图

22 系统要求

23 电气原理图

24 系统要求

25 电气原理图

26 系统要求

27 电气原理图

28 系统要求

29 电气原理图 5 机械制图 图 10 机械制图

30 系统要求 6 电气原理图 图

31 电气原理图 图 12 ST-LPINK/V2(SWD only)

32 系统要求 图 13 MCU

33 电气原理图 图 14 Audio

34 系统要求 图 15 USB_OTG_FS

35 电气原理图 图 16 Peripherals

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word - VC2K_20141030_cht.doc

Microsoft Word - VC2K_20141030_cht.doc 影 像 伺 服 器 VC-1500/1800/2000 操 作 說 明 書 影 像 伺 服 器 操 作 說 明 書 20141030 0 目 錄 硬 體 介 紹...4 正 面...4 背 面 說 明...4 配 件...5 接 線 架 構 說 明 圖...5 搭 配 有 線 電 視 數 位 盒 接 法...6 PC-09N 無 線 轉 發 器 指 定 插 槽...6 軟 體 安 裝 說 明...7

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

5m m SE2L m 1 170cm 70cm RS IDEC 2 RF2 4 6 RF1V 2

5m m SE2L m 1 170cm 70cm RS IDEC 2 RF2 4 6 RF1V 2 SE2L 5m 270 7 1 5m SE2L 2 2 2 12 1 2705m 1 170cm 70cm RS-485 41 IDEC 2 RF2 4 6 RF1V 2 2 1 2 FS1A LD6A 3 32 AGV 32 EDM IDEC XWXNX6 4 SE2L USB MicroSD IDEC IDEC 5 Functional Safety SE2L SE2L 3m SE2L-H05LP

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

(CIP) : /. :, 2004 ISBN T S CIP (2004) (1 : ) : * : : :

(CIP) : /. :, 2004 ISBN T S CIP (2004) (1 : ) : * : : : (CIP) : /. :, 2004 ISBN 7 5045 4510 4.... T S974. 2 CIP (2004) 044759 (1 : 100029 ) : * 787 1092 16 8. 25 176 2004 6 1 2004 6 1 : : 15. 00 : 010 64929211 : 010 64911190 : http: / / www. class. com. cn

More information

Benefits of 10GbE

Benefits of 10GbE 首 席 分 析 师 Linley Gwennap 2012 年 2 月 www.linleygroup.com Linley Group 首 席 分 析 师 Linley Gwennap 本 文 介 绍 了 Silicon Labs 公 司 新 型 Precision32 单 片 机 产 品 - 该 公 司 首 次 推 出 的 32 位 MCU 除 了 具 有 兼 容 ARM 的 CPU 之 外,

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

HDV 820

HDV 820 HDV 820 HDV 820 1 ... 3 HDV 820... 6... 7... 8... 8... 8 HDV 820... 9... 9... 9 Mac/PC... 12 /... 17... 18 HDV 820... 19 / HDV 820... 19... 20... 21 UNBA CA... 22... 22 HDV 820... 23... 23... 24... 25...

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19" NO x NO x ULTRAMAT 23 6mm 1/4 TÜV

ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19 NO x NO x ULTRAMAT 23 6mm 1/4 TÜV ULTRAMAT 23 ULTRAMAT 23 2 2 3 5 6 7 9 11 13 15 16 18 24 24 1 ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O 2 6-12 ULTRAMAT 23

More information

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV SIMOCODE pro 03.2009 SIRIUS Answers for industry. SIMOCODE pro 3UF7 2-2 - 4-4 - 7-9 - PCS 7 10 - SIMOCODE ES SIMOCODE pro 3UF7 11-18 - 21-22 - 30-35 - 36-37 3UL22 38 PROFIBUS MCC Siemens LV 1 2009 SIMOCODE

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

I/O 6 OPX-2A 4,440 mm FLEX EZS 0.25kg B mm EZS EZC ϕ mm 38 ϕ11.2 DRL DG CAD

I/O 6 OPX-2A 4,440 mm FLEX EZS 0.25kg B mm EZS EZC ϕ mm 38 ϕ11.2 DRL DG CAD I/O OPX-2A 4,440 mm 0.25kg B453 91.8 1 3mm 9 21.5.1 +0.7 8 0 +0.8 92 0 72 7.8 ϕ4.75000mm 38 ϕ11.2 CAD 9 E-177 2 CC05IF-USB 4,000 MEXE02 PC5m USB 0.5m MEXE02 CD-ROM http:/// OS Microsoft Windows 2000 Professional

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

Kinetis SDK K64 Users Guide

Kinetis SDK K64 Users Guide Freescale Semiconductor, Inc. K64 MAPS 套 件 用 户 指 南 版 本 1.0.0, 12/2014 K64 MAPS 套 件 硬 件 用 户 指 南 目 录 1 引 言... 2 2 概 述... 2 2.1 硬 件 平 台... 2 2.2 MAPS-K64 简 介... 2 2.2.1 MAPS-K64 主 要 功 能 模 块... 3 2.2.2 MAPS-K64

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

微控制器市场及应用 分析和预计

微控制器市场及应用 分析和预计 STM32 全面释放创造力, 服务今日, 开创未来 微控制器市场及应用 分析和预计 微控制器整体市场分析 3 微控制器在工业和医疗应用市场趋势 s) n ilio M $ S (U s e u n v e e R 7,000.0 6,000.0 5,000.0 4,000.0 3,000.0 2,000.0 1,000.0 Industrial & M edical revenues trend (source:

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用 户 类 型 个 人 网 上 银 行 客 户 采 用 数

第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用 户 类 型 个 人 网 上 银 行 客 户 采 用 数 山 西 省 农 村 信 用 社 ( 农 村 商 业 银 行 / 合 作 银 行 ) 个 人 网 上 银 行 用 户 使 用 手 册 ( 版 本 v1.0) 第 一 部 分 网 银 注 册 签 约 篇 山 西 省 农 村 信 用 社 个 人 网 上 银 行 为 客 户 提 供 账 户 管 理 转 账 汇 款 理 财 业 务 客 户 服 务 安 全 中 心 等 服 务 功 能 1. 网 上 银 行 用

More information

經 濟 統 計 分 析 與 統 計 圖 表 多 媒 體 設 計 之 創 新 作 法 ( 附 件 ) 經 濟 部 統 計 處 中 華 民 國 103 年 8 月 29 日 內 容 大 綱 壹 效 益 性... 2 一 點 閱 率... 2 二 媒 體 報 導... 4 三 部 務 會 議 裁 示... 7 四 榮 獲 本 部 103 年 網 站 評 比 第 1 名... 9 貳 具 體 作 法...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

AXIS P7224 Video Encoder Blade – Installation Guide

AXIS P7224 Video Encoder Blade – Installation Guide 安 装 指 南 AXIS P7224 刀 片 视 频 编 码 器 中 文 法 律 考 虑 事 项 视 频 和 音 频 监 视 可 能 会 受 法 律 限 制, 各 个 国 家 / 地 区 的 法 律 会 有 所 不 同 如 将 本 产 品 用 于 监 控 目 的, 需 要 先 检 查 是 否 符 合 你 所 在 区 域 内 的 法 律 规 定 本 产 品 包 括 四 个 (4) H.264 解 码

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx XMC4500 2012 XMC4000 6 11 6 13 6 15 6 18 6 20 6 22 XMC4000 IDE, C-Compilers, Debuggers, Analysis Utilities HW Debuggers Flash Programming Altium Atollic Keil IAR Systems Wind River Hitex PLS isystems Lauterbach

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

t70.0101gb.fm

t70.0101gb.fm 数 据 单 70.3590 页 码 / JIMAGO 500 / JIMAGO 500 703590/... 5 7 3 0 40 V AC 0 30 V AC/DC COM SETUP/RS4/485 8 50 6 000 4 6 COM RS4/485 ER8 PROFIBUSDP ProfibusDP 5 6 7 ( ) ( ) ( ) 0/5V Modem 0/V ( ) SETUP Windows95/98/NT4.0/000/ME/XP/Vista

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

CPU : i3 RAM: 2G Win2000 Windows XP Windows Vista Windows 7 Cable ADSL 1. [ ] 2., 1. 2. KGI [ ] 3. 4. 5. 6. 7. / /KGI /, 1. (1) / (2) - Proxy, Proxy IP Port (3) - a. / / b. (4) - (5) / / / / / (6) -,,

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

_InfraSuite datacenter_B5_SC_ _print.pdf

_InfraSuite datacenter_B5_SC_ _print.pdf The power behind competitiveness InfraSuite www.deltagreentech.com.cn InfraSuite II 1 ----------------------------------------------------------------------1 1.1 -----------------------------------------------------------------------------------------------

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

B03 B G12 B04 B B05 B B06 B B08 B B09 B B B10 B B11 B C01 C C02 C0

B03 B G12 B04 B B05 B B06 B B08 B B09 B B B10 B B11 B C01 C C02 C0 7196 ( )( ) ( 31 ) 2003 11 23 2003 11 23 A01 A0101 160 164 A0102 29 A02 A0201 2 A03 A0301 76 A04 A0401 7 A0402 11 A06 A0601 9 15 2 A07 A0701 27 A09 A0901 14A A10 A1001 470 5 A11 A1101 6 1 A12 A1201 322

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

Administrator

Administrator 乐 规 TV 超 级 电 规 Letv S40 维 修 手 册 V1.0 拟 制 张 洪 春 贺 佐 宾 日 期 评 审 王 智 日 期 1 / 24 修 订 记 录 版 本 号 日 期 作 者 修 订 描 述 V1.0 初 稿 完 成 2 / 24 目 录 1. 产 品 简 介... 2 2. 产 品 技 术 觃 格... 2 3. 维 修 指 南... 4 3.1 文 档 使 用 说 明...

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc PHILIPS 单 片 机 的 现 状 及 其 发 展 趋 势 ( 市 场 信 息 2003 年 5 月 23 日 第 一 版 ) 1. 与 众 不 同 的 特 点 (1) P87C51 P89C51 属 于 增 强 型 的 80C51 系 列 单 片 机 而 AT89S51 W78E51 HY97C51 等 单 片 机 则 属 于 MCS-51 系 列 单 片 机 尽 管 两 者 完 全 兼 容

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關 SB233 4865 綱 領 : (3) 救 護 服 務 管 制 人 員 : 處 方 表 示, 在 2013-14 年 度 會 啟 用 救 護 信 息 宣 傳 車, 以 繼 續 加 強 宣 傳 教 育 市 民 適 當 地 使 用 緊 急 救 護 服 務, 其 詳 情 及 為 何? 提 問 人 : 陳 家 洛 議 員 救 護 信 息 宣 傳 車 於 2013 年 年 初 投 入 服 務, 消 防 處

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information