數位邏輯題庫本

Similar documents
題目預覽~教師專用

組合邏輯的設計

題目預覽~教師專用

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344>

Microsoft Word doc

考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

2013年3月国家教师资格统一考试

4 / ( / / 5 / / ( / 6 ( / / / 3 ( 4 ( ( 2

山东2014第四季新教材《会计基础》冲刺卷第三套


2 A

WinXP

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本

北京2014年会计从业资格考试《会计基础》备考机试卷一

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 indd /11/10 下午 0:58:09

山东2014第四季新教材《会计基础》冲刺卷第二套

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

zt

( CIP. :, / ISBN D CIP ( ( 010) ( ) ( 010) / ( ) ( 010) 884

实 信 用 的 原 则 " 其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

Ps22Pdf

bingdian001.com

Microsoft Word - cjfg_jy0201.doc

2013年国家司法考试模拟试卷与答案


优合会计考点直击卷子之财经法规答案——第八套

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

Microsoft PowerPoint - chap04.ppt


Ps22Pdf

新增Microsoft Word 文件.doc

D/A DAC ( 1us) (10~20 ) DAC0832 1

2011年6月证券《发行与承销》考试真题

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

<453A5CB8F7B7D6C9E7D4F0B1E05CBFBCCAD4B7D6C9E75CD5D4C3F7CFBC5CCAE9C4BFCEC4BCFE5CB7A8C2C9B3F6B0E6C9E7CBBEB7A8BFBCCAD4B7FECEF1D7A8BFAF2E646F6378>

A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

bingdian001.com

Visualize CMap

SIGNUM 3SB3

zyk00207zw.PDF

《侵权法》综合练习题

CIP 1500 / ISBN X Ⅰ. Ⅱ. Ⅲ. Ⅳ. D CIP edu. cn

Microsoft Word - 第五章

njj00118zw.PDF

<4D F736F F D20C1E3B5E3CFC2D4D8C4A3B0E52E646F63>

LCD模組之應用

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

Microsoft Word - 第5-7章

1. ( )( ) A. B. C. D. 2. ( )( ) A. : B. : C. : D. : 3. ( )( ) A. : B. : C. : D. : 1 D : 2

4

Ps22Pdf

( CIP ) /,. 2 ( ) :, ( ) ISBN :. R CIP ( 2003 ) ( 2 ) ( ) 850 mm 1168mm 1 /

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分

Ps22Pdf

Microsoft PowerPoint - chap11.ppt

Microsoft Word 司考真?行政法勘?大表.doc

考试大2011年高考试题答案

untitled

Ps22Pdf

Microsoft Word - 數位邏輯學科題庫_500題_ doc

<4D F736F F D BEC7A67EABD7B2CEA440A44ABEC7B4FAC5E728B8EAB971C3FEB14DB77EACECA5D8A44729>

<4D F736F F D B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63>

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 (

同 时 承 销 的 国 际 债 券 是 ( ) A. 龙 债 券 B. 外 国 债 券 C. 欧 洲 债 券 D. 亚 洲 债 券 10. 在 没 有 优 先 股 的 条 件 下, 普 通 股 票 每 股 账 面 价 值 是 以 公 司 ( ) 除 以 发 行 在 外 的 普 通 股 票 的 股 数

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2


高二立體幾何

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

Ps22Pdf

<443A5CD7C0C3E65CC8BAD7CAC1CF5C F73662E646F63>

Microsoft PowerPoint - chap05.ppt

Q expert-完成出卷

Microsoft PowerPoint - chap12.ppt

萬用閘的應用

untitled

Microsoft Word - t0626.doc

( CIP ) /. 2 ( ). :, 2003 ( ) ISBN R CIP ( 2003 ) ( 2 ) ( ) 850 mm 1168mm 1 /

bingdian001.com

Microsoft Word 生物02.doc

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

( )1

Ps22Pdf

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

Microsoft Word - 第四章.doc

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 考 点 考 题 精 讲 依 据 最 新 颁 布 的 考 试 大 纲 的 要 求, 需 要 明 确 以 下 考 点 : 掌 握 新 股 公 开 发 行 和 非 公 开 发 行 的 基 本 条 件 一 般 规 定 配 股

9301reply-c

第一部分 公共基础知识

( CIP) /. 2. :, 2004 (. ) ISBN G CIP ( 2004 ) : : : : : : 2 1 : : : 787mm 1092mm 16 : 7. 5 : 180 :

CIP. / ISBN Ⅰ.... Ⅱ.... Ⅲ. Ⅳ. G CIP http / /press. nju. edu. cn

2007 /,. :, ISBN D : : : : 2 : : http: / / www. wendu. com : , 832 : : : /

2006ÄêÈ«¹ú˶ʿÑо¿ÉúÈëѧ¿¼ÊÔÕþÖÎÀíÂÛÊÔÌâ¼°´ð°¸

Transcription:

數位邏輯題庫本 適用科別 : 資訊 電子科

101 年第一學期適用班級 : 電子 資訊科 科目 : 數位邏輯測驗卷 章節 :L1 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( ) 1. 下列何者不是積體電路 (IC) 的優點? (A) 消耗功率低 (B) 工作速度快 (C) 故障率低 (D) 輸出較大的功率 ( ) 2. 積體電路中, 依邏輯閘數目之多寡分類, 且由多到少排序, 何者正確? (A)SSI >MSI >LSI >VLSI (B)VLSI >ULSI >LSI>MSI (C)ULSI>VLSI>SSI>LSI (D)ULSI>VLSI>MSI >SSI ( ) 3. 積體電路 (IC) 中最常製造的元 ( 零 ) 件為 (A) 電阻器 (B) 電容器 (C) 電 晶體 (D) 二極體 ( ) 4. 所謂超大型積體電路 (VLSI) 係指在一個半導體晶片上的零件數目為 (A)10~100 個 (B)100~1000 個 (C)1000~10000 個 (D)10000 個以 上 ( ) 5. 方波的工作週期為下列何者? (A) 等於 50% (B) 大於 50% (C) 小於 50% (D) 介於 25% 到 75% 之間 ( ) 6. 以 +3 V 代表邏輯 0, 而 0 V 代表邏輯 1 的邏輯觀念為 (A) 負邏 輯 (B) 正邏輯 (C) 非邏輯 (D) 以上皆非 ( ) 7. 下列何者的電源接法無法適用於 CMOS 族 IC? (A)V DD = 40V;V SS = 30V (B)V DD = - 5V;V SS = - 10V (C)V DD = 5V;V SS = 0V (D)V DD = 20V;V SS = 0V ( ) 8. 下列的邏輯族, 何者扇出 (fan out) 數最高? (A)TTL (B)CMOS (C)ECL (D)IIL ( ) 9. 標準 TTL 的輸出 / 輸入電壓規格如下, 則高態雜訊邊限為何值? (A)0.8V (B)400mV (C)1.2V (D)2V ( ) 10. 假設一 TTL 邏輯, 最小高準位輸入電壓 V IH(min) = 2V, 最大低準位輸入 電壓 V IL(max) = 0.8V, 最小高準位輸出電壓 V OH(min) = 2.4V, 最大低準位輸 出電壓 V OL(max) = 0.4V, 求其高態雜訊邊限 V NH 與低態雜訊邊限 V NL 值分 別為何? (A)V NH =1.2V,V NL =2V (B)V NH =1.6V,V NL =1.6V (C)V NH =0.4V,V NL =0.8V (D)V NH =0.4V,V NL =0.4V ( ) 11. 下列何種 IC 的傳輸延遲時間最短? (A)74L00 (B)74LS00 (C)74S00 (D)7400 ( ) 12. 下列分類中, 邏輯閘數目最少的積體電路為 (A)LSI (B)MSI (C)SSI (D)VLSI ( ) 13. 有關 D/A 轉換器的敘述, 下列何者正確? (A) 將類比信號轉換為數位 信號 (B) 將電流信號轉換為電壓信號 (C) 將數位信號轉換為類比信號 (D) 將電壓信號轉換為電流信號

( ) 14. 有一 74LS 系列邏輯閘, 其規格為 I OH =-400μA,I IH = 20μA,I OL = 8mA,I IL = -0.4mA, 則此邏輯閘的扇出數 (fan out) 為多少? (A)5 (B)10 (C)15 (D)20 ( ) 15. 若 V DD 為 10V,V SS 為 0V, 在正邏輯之下使用, 則下列有關 CMOS IC 之敘述何者正確? (A) 若輸入電壓為 6V, 可視為邏輯 1 (B) 若輸入電 壓為 0.5V DD, 可視為邏輯 1 (C) 若輸入電壓為 0.4V DD, 可視為邏輯 0 (D) 若輸入電壓為 2V, 可視為邏輯 0 ( ) 16. 二進制的 1011.1011 等於十進制的 (A)11.11 (B)11.6875 (C)11.6785 (D)11.7865 ( ) 17. 編號為 74LS00 的邏輯 IC, 其中 LS 代表的意思為 (A) 低速度 (B) 低 功率 (C) 低功率蕭特基 (D) 低雜訊 ( ) 18. 數位表示法是 (A) 連續性的 (B) 不連續性的 (C) 不變的 (D) 自然 的 ( ) 19. 有一週期脈波在高態時間為 10ms, 低態時間為 15ms, 其工作週期 (duty cycle) 為 (A)10% (B)20% (C)40% (D)60% ( ) 20. 脈波前緣由振幅的 10% 至 90% 所需的時間為 (A) 延遲時間 (B) 上升 時間 (C) 儲存時間 (D) 下降時間 ( ) 21. 脈波後緣由振幅的 90% 至 10% 所需的時間為 (A) 延遲時間 (B) 上升 時間 (C) 儲存時間 (D) 下降時間 ( ) 22. 下列何種 IC 的傳輸延遲時間最短? (A)74L00 (B)74LS00 (C)74S00 (D)7400 ( ) 23. 有關 TTL74 74H 74L 74LS 74S 邏輯族之描述下列何者錯誤? (A) 消耗功率最少的為 74L 系列 (B) 消耗功率最大的為 74H 系列 (C) 傳遞 延遲最短的為 74H 系列 (D) 傳遞延遲最長的為 74L 系列 ( ) 24. 積體電路中, 依邏輯閘數目之多寡分類, 且由多至少排列, 下列何者 正確? (A)SSI>MSI>LSI>VLSI (B)VLSI>ULSI>LSI>MSI (C)ULSI>VLSI>SSI>LSI (D)ULSI>VLSI>LSI>MSI ( ) 25. 下列何者為數位信號? (A) 方波信號 (B) 三角波信號 (C) 正弦波信 號 (D) 斜波信號

101 年第一學期適用班級 : 電子 資訊科科目 : 數位邏輯測驗卷章節 :L2 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( )1. 有一運算式如下, ( 765) 8 (654) 8 在運算完之後的答案以 BCD 碼輸出表示應為下列何者? (A)0001 0001 0001 (B)0100 1001 (C)0111 0011 (D)0111 ( ) 2.(001010) 2 減 (010110) 2 之結果, 以 2 s 補數表示為何? (A)110011 (B)110010 (C)100100 (D)110100 ( ) 3. 二進位的 1101.01 等於十進位的 (A)10.75 (B)13.25 (C)14.65 (D)28.75 ( ) 4.10.10001 不等於 (A)2.42 (8) (B)2.88 (16) (C)2.53125 (10) (D)2.21 (4) ( ) 5. 若 17 (10) =10001 (x) =Y (16), 則下列何者正確? (A)X=2,Y=11 (B)X=10, Y=18 (C)X=2,Y=17 (D)X=4,Y=11 ( ) 6. 兩個二進制的數字 (11101) 2 +(10010) 2, 其和為 (A)(111111) 2 (B)(111101) 2 (C)(110111) 2 (D)(101111) 2 ( ) 7. 十進制負數值 -27 轉換為八位元有號大小之 2 的補數為 (A)00011011 (B)10011011 (C)11100100 (D)11100101 ( ) 8. 在 2 的補數數字系統之下,10111111 表示十進制的 (A)64 (B)-64 (C)191 (D)-65 ( ) 9. 三個二進位數分別為 11011,10011 與 11, 則其和為 (A)010101 (B)101011 (C)110001 (D)101101 ( ) 10. e 的 ASCII code 為 (A)65H (B)64H (C)61H (D)5FH ( ) 11.46 (10) 轉換成格雷碼是 (A)101011 (B)111111 (C)111110 (D)111001 ( ) 12. 有一字組為 D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0, 若 D 7 當作同位檢查 (Parity check) 位元,D 6 至 D 0 為資料位元, 且用偶同位編碼, 則下列何者為不正確?

(A)11111100 (B)00100001 (C)10101110 (D)10000111 ( ) 13. 將 2FB (16) 轉換為十進制其值應為 (A)376 (10) (B)736 (10) (C)637 (10) (D)763 (10) ( ) 14. 試求 110100101 (2) = (A)1A4 (16) (B)1B5 (16) (C)422 (10) (D)645 (8) ( ) 15. 有關不同進制之間的轉換運算, 下列何者正確? (A)ABC (16) = 5274 (8) (B)200 (10) = 400 (5) (C)3C7 (16) = 977 (10) (D)229 (10) = E7 (16) ( ) 16.1010.101 (2) 等於十進制的 (A)10.11 (B)10.6785 (C)10.7865 (D)10.625 ( ) 17. 十進位數 325.75 (10), 其八進位值為何? (A)523.6 (8) (B)506.75 (8) (C)505.6 (8) (D)513.25 (8) ( ) 18.(511.4) 10 =(X) 5,X= (A)4020.1 (B)4021.1 (C)4020.2 (D)4021.2 ( ) 19. 下列何種數碼不適合做算術運算 (A)Gray Code (B)Binary Code (C)Excess-3 (D)Decimal Code ( ) 20. 格雷碼 10110110 轉換為二進制碼應為 (A) (B) (C) (D) (E) ( ) 21. 一指令之運算碼部份有 n 位元, 表示其運算最多有幾種? (A)n (B) (C) (D) ( ) 22. 十進制數 23.75 化成二進制時, 應為 : (A)10111.11 (B)10111.011 (C)10110.101 (D)10111.1 ( )23. 十進位數 233 轉換成三進位數應為 (A)22112 (B)11122(3) (C)22122(3) (D)12122(3) ( ) 24. 脈波由振幅 100% 處下降至 90% 處所花的時間為 (A) 延遲時間 (B) 上 升時間 (C) 儲存時間 (D) 下降時間 ( ) 25. 下列何種 IC 的傳輸延遲時間最短? (A)74L00 (B)74LS00 (C)74S00 (D)7400

101 年第一學期適用班級 : 電子 資訊科科目 : 數位邏輯測驗卷章節 :L3 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( ) 1. 四輸入 NOR 閘其輸出為 0 的情況共有幾種? (A)1 (B)4 (C)7 (D)15 ( ) 2. 當二個輸入端全為 0 或全為 1 輸入時 輸出才為 1 的邏輯閘為 (A) 或閘 (B) 及閘 (C) 反或閘 (D) 反互斥或閘 ( ) D )3. 下列邏輯閘中, 何種閘為 只有當所有輸入均為 0 時, 輸出才是 1? (A) (B) (C) (D) ( ) 4. 將邏輯閘其中一輸入端接上高電位時, 此閘相當於 (A)OR 閘 (B)AND 閘 (C)buffer 閘 (D)NOT 閘 ( ) 5. 僅當所有的輸入均相同時, 輸出才為 0 的兩輸入端邏輯閘 (A)NOR (B)XOR (C)OR (D)AND ( ) 6. 若邏輯閘 XOR 兩輸入端分別送入二列 4 位元信號 1100 與 0100 試問 XOR 的輸出結果為何? (A)1000 (B)1100 (C)1010 (D)0100 ( ) 7. 邏輯電路中, 若輸入信號中至少有一個為 1 則輸出即為 1 的邏輯閘是 (A) 及閘 (B) 或閘 (C) 非閘 (D) 非或閘 ( ) 8. 如圖所示, 輸出 Y 為 1 的情況共有 (A)1 種 (B)2 種 (C)3 種 (D)4 種 ( ) 9. 如圖所示, 輸出 Y 為 1 之情況共有 (A)1 種 (B)2 種 (C)3 種 (D)4 種 ( ) 10. 如圖 V CC =5V, 假設地電壓為邏輯 0,V CC 為邏輯 1, 則該電路. 是 (A)OR 閘 (B)AND 閘 (C)XOR 閘 (D)NAND 閘

( ) 11. 如圖所示之電路為 (A)OR 閘 (B)AND 閘 (C)NOR 閘 (D)NAND 閘 ( ) 12. 下列何者使用效率較高? (A)NOT 閘 (B)OR 閘 (C)AND 閘 (D)NAND 閘 ( ) 13. 以二輸入端的 NAND 閘完成二輸入端之 XOR 閘功能, 至少須使用幾 個 NAND 閘? (A)2 個 (B)3 個 (C)4 個 (D)5 個 ( ) 14. 以二輸入端的 NOR 閘完成二輸入端之 XNOR 閘功能, 至少須使用幾 個 NOR 閘? (A)2 個 (B)3 個 (C)4 個 (D)5 個 ( ) 15. 正邏輯的 AND 閘, 相當於負邏輯的 (A)NOR 閘 (B)NAND 閘 (C)XOR 閘 (D)OR 閘 ( ) 16.n 個輸入端的互斥或閘, 其輸出為 1 的狀態共有 (A)1 種 (B)n 種 (C) 2 n 1 種 (D)2 n -1 種 ( ) 17.n 個輸入端的反或閘, 其輸出為 1 的狀態共有 (A)1 種 (B)n 種 (C) 2 n 1 種 (D)2 n -1 種 ( ) 18. 圖中之邏輯電路, 其布林代數式為下列何者? (A)A+B (B)A.B (C)A B (D)A B ( ) 19. 圖中之邏輯電路, 其布林代數式為下列何者? (A)A+B (B)A.B (C)A B (D)A B ( ) 20. 圖中之邏輯電路, 其布林代數式為下列何者? (A)A+B (B)A.B (C) A B (D) A B

( ) 21. 圖中之邏輯電路, 其布林代數式為下列何者? (A)A+B (B)A.B (C)A+B (D)A.B ( ) 22. 圖中之邏輯電路, 其布林代數式為下列何者? (A)A+B (B)A.B (C)A B (D)A B ( ) 23. 及閘 (AND gate) 的輸出要得到 0, 其輸入必須 (A) 全部為 0 (B) 全部為 1 (C) 其中一個輸入為 0 (D) 其中一個輸入為 1 ( ) 24. 標準電晶體邏輯閘 (TTL) 的扇出 (fan out), 可以負載幾個標準 TTL 的扇入 (fan in)? (A)1 (B)5 (C)8 (D)10 ( ) 25. 在進行數位電路實驗時, 下列何者可輸出不同頻率之時脈信號? (A) 示波器 (B) 函數波信號產生器 (C) 邏輯探測棒 (D) 數位電表

101 年第一學期適用班級 : 電子 資訊科科目 : 數位邏輯測驗卷章節 :L4 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( ) 1. 邏輯的補數運算的運算符號為下列何者? (A)+ (B) (C). (D) ( ) 2.16 進位資料 F5H 作 NOT 運算後, 所得結果為何? (A)1BH (B)2DH (C)E3H (D)0AH ( ) 3. 如圖所示電路, 其輸出 Y 的布林代數為何? (A)0 (B)1 (C)B (D) B ( ) 4. 化簡 A A B 為 (A)A+B (B) A B (C) A B (D) A B ( ) 5. F ( AB C) ( A BC ) 的互補函數為何? (A) A B C (B) B A C (C) A( B C ) (D) B( A C ) ( ) 6. (A)0 (B)1 (C)A (D) ( ) 7. 布林代數的基本運算符號, 邏輯 AND 用 (A)Ù 符號 (B)Ú 符號 (C) ( ) 8. + 符號 (D) 符號 (A)A+B (B) (C)AC (D) ( ) 9.A+BC= (A)A+B (B)A+C (C)BC (D)(A+B)(A+C) ( ) 10. 布林函數式中, 若 A=B=C=D=1, 則下列哪一式是錯誤的? (A)AB +CD=1 (B) (C) (D) ( ) 11. (A) (B) (C) (D)ABC ( ) 12. 在基本邏輯閘中何種閘 當所有輸入均為 0 時, 輸出才是 1? (A) (B) (C) (D)

( ) 13. 有一布林函數 F(A,B,C,D)=Σ(4,6,7,12,14,15), 化簡後可得函數 F 為 (A) (B) (C) (D)BC+BD ( ) 14. 在布林運算中, 下列何者有誤? (A)A+A'=1 (B)AA'=0 (C)A+ A'B'=A+B' (D)A(A'B')=A'B' ( ) 15. 化簡布林代數式為積之和 (sun of product) 形 成 (A) (B) (C) (D) ( ) 16. 可化簡為 : (A)AB (B)BC (C) (D) ( ) 17.F(W,X,Y,Z)=Σ(0,2,5,7,8,10,13,15),W 為 MSB,Z 為 LSB, 則此布林 代數式的最簡式為 : (A)WX+WZ (B) (C)XY+XZ (D) ( ) 18.A.1= (A)0 (B)1 (C)A (D) A ( ) 19. A A (A)0 (B)1 (C)A (D) A ( ) 20. A B C 1的對偶為 (A)A.B+C=1 (B)A.B+C=0 (C)(A+B).C=0 (D)(A+B).C=1 ( ) 21. Y f ( A, B, C) ( A B) ( C D) 若 A = 0 B = 1 C = 1 D = 0 則 Y = (A)0 (B)1 (C)2 (D)Y ( ) 22. 布林代數中 A+A= (A)0 (B)1 (C)A (D)2A ( ) 23. 邏輯的乘法運算符號為 (A)+ (B) (C). (D) ( ) 24. 布林代數式 1+A+B+AB 可化簡為 (A)A (B)B (C)1 (D)AB ( ) 25. 如圖所示電路之布林代數為何? (A)F=A+B (B) F AB C (C) F A B C (D) F A B C

101 年第一學期適用班級 : 電子 資訊科 班級 : 一 單選題 :( 每題 4 分 ) 科目 : 數位邏輯測驗卷章節 :L5 座號 : 姓名 : ( ) 1. 布林函數 F AB BC A B C ABD A B C D 的最簡式為 (A) A B CD (B) AB AB AC (C) A B BC (D) AC AB C D ( ) 2. 有一布林函數 F( A, B,C, D)= (4, 6, 7,12,14,15), 化簡後可得函數 F 為 (A) BC BD (B) BC BD (C) BC BD (D) BC BD ( )3. 設計邏輯電路時, 假設輸入變數之反相與非反相值皆已提供, 則下列敘 述何者錯誤? (A) 使用 NAND-NAND 製作邏輯電路時, 於卡諾圖中是 取 1 的方格產生積項之和 (B) 使用 NOR-NOR 製作邏輯電路時, 於卡 諾圖中是取 0 的方格產生和項之積 (C) 使用 AND-OR 製作邏輯電路 時, 於卡諾圖中是取 1 的方格產生積項之和 (D) 使用 OR-AND 製作邏 輯電路時, 於卡諾圖中是取 0 的方格產生積項之和 ( ) 4. 以正邏輯考慮 3 輸入 1 輸出的邏輯電路如圖所示, 若 C 輸入恆為 1, 則該一邏輯電路恆相等於哪一個邏輯閘? (A)AND (B)OR (C)NAND (D)NOR ( ) )5. 如圖所示, 僅考慮 4 個時序 當 A 點為 0011 時, 且 B 點為 1110 時, 則 C 點之時序為 註 :1 代表高電位,0 代表低電位 (A)1101 (B)1100 (C)1011 (D)1111 ( ) )6. 如圖的卡諾圖簡化可以得到最簡式為 (A) AC AC ABC (B) AC AC BC (C) AC ABC (D) AC BC ABC

( ) 7. 如圖所示之卡諾圖, 化簡後其輸出布林式 F 應為 (A) F DC ABC (B) F DC ABC (C) F DC ABC (D) F DC ABC ( ) 8. 邏輯函數 F ABC ABC ABC ABC 可表示為 (A) A B C (B) ( A B) C (C) AB( A B C) (D) A B C ( ) 9. F( A, B, C, D) ABCD ABCD ABCD ABCD 可化簡為 (A)AB (B)BC (C) AB (D) BC ( ) 10. 布林代數式 W WX WXY WXYZ (A)WXYZ (B)W (C)1 (D)0 ( ) 11. 布林代數 ABC ABC ABC ABC 等於 (A) A (B) A B (C) C (D)A ( ) 12. 布林代數式 F( A, B, C, D ) (1, 5, 6, 7,11,12,13,15), 下列何者為其化簡結 果? (A) A CD ABC ABC ACD (B) ABC ACD ACD BD (C) ABC ACD ACD ABC (D) ABC ACD ABC ABCD ( ) 13. 如圖所示之電路, 輸出端 F 最簡單的邏輯表示為 (A) F A BC (B)F=A (C)F=A+C (D)F=B+C ( ) 14. 邏輯函數之最簡化的積項之和 (sum of

products) 為 (A) (B) (C) (D) ( ) 15. 如圖電路中, 若 A= 0 B= 1, 則輸出為 (A)1 (B)0 (C)A (D)B ( ) 16. 在布林代數之邏輯運算中, 下列何者為真? (A)1+1=0 (B)0+1=0 (C)1.1=0 (D)0.1=0 ( ) 17. 如圖所示之真值表, 輸入 A B C, 試求輸出 Y, 下列何者正確? (A) (B) (C) (D) ( ) 18. 下列布林方程式何者正確? (A)A+A'=1 (B)A.A'=1 (C)A(A+ B)=B (D)(ABC)'=A+B+C ( ) 19. 比較適合用電腦程式處理的邏輯方程式化簡方法是 (A) 布林代數法 (B) 卡諾圖法 (C) 科林頓法 (D) 列表法 ( ) 20. 如圖所示之真值表, 可化簡為 POS 的最簡式為

(A) X A (B) X B (C) X B (D) X C ( ) 21.Y=f(A,B,C) =Σ(0, 1, 2, 3, 4, 5, 6, 7) = (A)0 (B)1 (C)A (D)A+B+C ( ) 22. 如圖所示的卡諾圖 (K-Map), 其布林代數式為 (A)X (B)Y (C)Z (D)XYZ ( ) 23. 如圖中,Y = (A)A+B (B) A B (C) ( A B) ( A B) (D) ( A B) ( A B) ( ) 24. 如圖中,Y = (A) AB AB (B) AB AB (C)AB (D) AB ( ) 25. 布林式 F(A,B,C,D)=A'B'C'D+A'B'CD+A'BC'D+A'BCD+AB'C'D'+AB'CD'+ ABC'D, 若以標準和項之積 (POS) 數字式表示 F, 則下列何者為 F 的表示式? (A)Π(1, 3, 5, 7, 8, 10, 13) (B)Π(15, 13, 11, 9, 8, 6, 3) (C)Π(0, 2, 4, 6, 9, 11, 12, 14, 15) (D)Π(2, 3, 4, 6, 9, 11, 12, 14,15)

101 年第一學期適用班級 : 電子 資訊科科目 : 數位邏輯測驗卷章節 :L6 班級 : 座號 : 姓名 : 一 單選題 :( 每題 4 分 ) ( ) 1. 二對一線多工器有 Z 輸出和 A B 兩資料輸入, 其選擇輸入為 S, 則 (A) A AS BS (B) Z ( A S)( B S) (C) Z AS BS (D) Z AS BS ( ) 2. 半加器的和等於 (A) AB AB (B) AB (C) ( A B)( A B) (D) ( A B)( A B) ( ) 3. 某一解碼器的輸出端共有 64 種不同的組合, 則其輸入端應有幾個輸入 線? (A)64 (B)32 (C)6 (D)4 ( ) 4. 把 4bit 計數器的輸出加到 7 段顯示器時, 必須先經過 (A) 編碼器 (B) 解碼器 (C) 多工器 (D) 解多工器 ( ) 5. 一個七段顯示器, 只有 b c f g 等四段亮, 則顯示數字為 (A)4 (B)5 (C)6 (D)7 ( ) 6. 七段顯示中, 若僅 a, c, d, f, g 字節通電, 則會出現何字? (A) (B) (C) (D) ( ) 7. 有一四輸入變數的布林函數, 若欲用多工器實現, 則需用 (A)2 線對 1 線 (B)4 線對 1 線 (C)8 線對 1 線 (D)16 線對 1 線 ( ) 8. 下列有關如圖之半加器與半減器之敘述, 何者不正確? (A) 半加器中 C( 進位 )=AB (B) 半減器中 C( 借位 ) AB (C) 半加器中 S( 和 ) A B (D) 半減器中 D( 差 ) A B ( ) 9. 微電腦介面控制共陰極 7 段顯示器, 如圖所示簡圖, 若 Abcdefg =1001110, 則顯示器輸出為

(A) (B) (C) (D) ( ) 10. 試選出常用的七段 LED 顯示器編號型態為 (A) (B) (C) (D) ( ) 11. 對於如圖所示之組合邏輯 (1) A B 時, f 1( 其餘為 0) 1 (2)A=B 時, f 2 1( 其餘為 0) (3) A B 時, f 1( 其餘為 0); 則其邏輯方程式 f 3 1 (A) A B (B) AB AB (C) A B (D) A B ( ) 12. 如圖所示為 3 8 解碼器, 當輸入 ABC 100 時, 則其輸出為 (A) Y 0 1 (B) Y 4 1 (C) Y 5 1 (D) Y 7 1

( ) 13. 若圖中電路的 E=1,A=0,B=0, 則 (A) D0 D1 D2 D3 1011 (B) D0 D1 D2 D3 1111 (C) D0 D1 D2 D3 0111 (D) D0 D1 D2 D3 1110 ( ) 14. 若圖中電路的 E = 0,S=0, 則 (A) Y 1 Y 2 A 1 A 2 (B) Y 1 Y 2 B 1 B 2 (C) Y 1 Y 2 11 (D) Y 1 Y 2 00 ( ) 15. 如圖所示電路, 其實現的布林函數 F( A, B, C ) 為何? (A)Σ(1,3,5,6) (B)Σ(1,2,5,7) (C)Σ (1,3,5,7) (D)Σ(1,2,5,6) ( ) 16. 編號為 27128 的 EPROM, 它共有幾條位址線? (A)8 條 (B)12 條 (C)14 條 (D)16 條 ( ) 17. 下列有關 ROM 的敘述, 何者有誤? (A) 可用來製作組合邏輯電路 (B)EPROM 可以清除資料再規劃 (C)2716-12 的 IC 存取時間為 12ns (D)2716-12 的 IC 容量為 16K Bits ( ) 18. 電腦系統中, 下列存取速度最快者為 (A) 光碟 (B)DRAM (C)SRAM (D) 硬碟

( ) 19. 以 8 個位元 2 補數方式來表示一個數目的正負大小, 其所能表示的最 大範圍 (A)-127~+127 (B)-128~+127 (C)-255~+255 (D) -127~+127 ( ) 20. 圖符號是 (A) 半加器 (B) 全加器 (C) 乘法器 (D) 全減器 ( ) 21.1 補數產生電路可用一種 : (A)OR (B)AND (C)NAND (D)XOR 即可完成 ( ) 22. 把 4-bit 計數器的輸出加到 7 段顯示器必須先經過 (A) 編碼器 (B) 解 多工器 (C) 多工器 (D) 解碼器 ( ) 23. 一解碼器其輸入端信號組合共有 64 種, 則其輸入變數有 (A)3 個 (B)6 個 (C)8 個 (D)64 個 ( ) 24. 如圖之邏輯電路, 其邏輯函數 F(A,B)= (A)A+B (B) (C) (D) ( ) 25. 對於一共陽極七段 LED 數字顯示器, 若要設計其驅動電路時, 其顯示段輸入電位及共通點電位應如何決定方可顯示數字? (A) 顯示段加高電位, 共通點加低電位 (B) 顯示段加高電位, 共通點加高電位 (C) 顯示段加低電位, 共通點加高電位 (D) 顯示段加低電位, 共通點加低電位

101 年第一學期適用班級 : 電子 資訊科科目 : 數位邏輯測驗卷章節 :L7 班級 : 座號 : 姓名 : 1. ( ). 如圖所示, 當 J=1 時, 若輸入之脈波頻率為 1kHz, 則輸出 Q 之脈波頻率為 (A) 2kHz (B) 1kHz (C) 500Hz (D) 250Hz 2. ( ) 正反器若欲正確儲資料, 則其資料輸入與時序信號的時間關係為何? (A) 要資料與時序信號同時輸入 (B) 只要資料輸入先輸入並大於設 定時間 ts 即可 (C) 只要時序信號觸發後資料能再維持一段 th 時間即可 (D) 要選項 B 與 C 同時滿足才行 3. ( ) BCD 計數器之最大計數值為 (A) 1111 (B) 1100 (C) 1010 (D) 1001 4. ( ). 有一 JK 正反器, 在不考慮控制輸入下, 欲使其輸出為反態現象 (Qn+1= Q ), 則 J,K 之輸入為何? (A) J=1,K=0 (B) J=1,K=1 (C) J=0, n K=0 (D) J=0,K=1 5. ( ). 串列方式的傳送是指一次傳送 (A) 一個位元 (B) 兩個位元 (C) 四個位元 (D) 八個位元 6. ( ) 圖 是除 N 電路,N= (A) 10 (B) 8 (C) 6 (D) 4 7. ( ) 採負緣觸發型正反器, 將時序信號接到第一級正反器的 CLK 端, 而 後續正反器的 CLK 端都接到前一級的 Q 輸出, 此計數器為 (A) 二進 下數非同步計數器 (B) 二進上數非同步計數器 (C) 二進上數同步計 數器 (D) 二進下數同步計數器 8. ( ). 由 7 個正反器組成之二進位計數器, 其計數範圍為 0 到 (A) 255 (B) 127 (C) 63 (D) 7 9. ( ) 如圖中, 若 Din=0, 且 QAQBQCQD=1111, 在經 3 個時序脈波輸入後其輸出依序為 (A)

0000 (B) 0001 (C) 0011 (D) 1010 10. ( ). 位元二進計數器之最大計數值為 (A) 16 (B) 15 (C) 10 (D) 9 11. ( ) 如圖所示, 當 U / D =0 時, 計數器的狀態是 (A) 暫停計數 (B) 上數 (C) 下數 (D) 清除為 0 12. ( ) 下列計數器何者是將全部正反器的 CLK 端接在一起同時觸發 (A) 同步 計數器 (B) 非同步計數器 (C) 漣波計數器 (D) 可預設非同步計數 器 13. ( ) 欲設計一個非同步模 -12 計數器, 至少需要幾個正反器? (A) 3 個 (B) 4 個 (C) 5 個 (D) 6 個 14. ( ) 欲設計模 -24 的同步計數器, 至少需幾個正反器? (A) 4 (B) 5 (C) 6 (D) 12 15. ( ) 將 S-R 正反器之 S 端接反相器後再與 R 端連接, 則可成為 (A) JK 正反 器 (B) D 型正反器 (C) T 型正反器 (D) 反相器 16. ( ) 假設一 JK 正反器在 t0 週期之 Q 值為 1,t1~t4 週期之輸入訊號 JK 分別 為 11 01 10 00, 則 Q 在 t1~t4 週期之輸出變化情形為 (A) 0 0 1 1 (B) 1 0 1 0 (C) 0 1 1 0 (D) 1 1 0 0 17. ( ) 設計一模數為 100 的計數器, 最少需幾個正反器? (A) 6 (B) 7 (C) 8 (D) 9 18. ( ). 下列何種電路具有直接計時的能力? (A) 多工器 (B) 並列暫存器 (C) 加法器 (D) 計數器 19. ( ) 右圖電路若 PR CLR 1, 下列何者正確? (A) 是負緣觸發 D 型正反器 (B) 當 CLK=1, 則 Q=D (C) 當 CLK=, 則 Q=D (D) D 有最高優先權, 當 D=0 則 Q=0,D=1 則 Q=1 20. ( ) 同上題, 下列敘述何者正確? (A) 不論 PR 與 CLR 為何, 當 CLK=, 則 Q=D (B) PR =0, CLR =1, 則 Q=0 (C) PR =CLR =1, 則 Q=1 (D)

PR =1, CLR =0, 則 Q=0 21. ( ) 如圖所示, 輸出 (Q1,Q2) 原先狀態為 (1,0), 且 R S 輸入均等於 1, 則輸出 (Q1,Q2) 為何? (A) 不變 (B) 01 (C) 11 (D) 00 22. ( ) 如圖若 Din=1, 且 QAQBQCQD=0000, 在經 2 個時序脈波觸發後, 其輸出 QAQBQCQD= (A) 1000 (B) 1100 (C) 1110 (D) 1111 23. ( ) 圖為 JK 正反器所組成的計數器, 其中 VCC 為電源電壓, 若輸入端加 20kHz 的方波, 則輸出 QB 端的信號頻率為多少? (A) 20kHz (B) 10kHz (C) 5kHz (D) 2.5kHz 24. ( ) 模 -8 計數器之輸出最多有幾種狀態 (A) 8 (B) 9 (C) 10 (D) 16 25. ( ) 圖所示, 若輸入 CLK 的時脈頻率為 8MHz, 其 Qo 輸出頻率為 (A) 1MHz (B) 2MHz (C) 4MHz (D) 8MHz 26. ( ) 正反器是一種 (A) 相移振盪器 (B) 單穩態多諧振盪器 (C) 雙穩態 多諧振盪器 (D) 不穩態多諧振盪器 27. ( ) 如圖所示,JK 正反器經外部接線後變為 (A) RS 正反器 (B) D 型正反器 (C) T 型正反器 (D) JK 正反器 28. ( ) 承上題, 正反器之時脈 CLK 觸發形式為 (A) 正緣觸發 (B) 負緣觸發 (C) 正緣與負緣皆觸發 (D) 無觸發

29. ( ) 具 10 個正反器的環形計數器, 其模數為 (A) 10 (B) 20 (C) 100 (D) 1024 30. ( ) 要設計模 -36 計數器, 至少需要幾只正反器? (A) 4 (B) 5 (C) 6 (D) 8 31. ( ) 圖的計數器, 若一開始 QCQBQA=000, 則在 3 個時序脈波觸發後, 其輸出為 (A) 000 (B) 011 (C) 110 (D) 101 32. ( ) R-S 正反器是一種 (A) 多穩態 (B) 雙穩態 (C) 單穩態 (D) 非穩態 多諧振盪器 33. ( ) 由 JK 正反器組成模 -13 漣波計數器, 若輸入為 18.2kHz 之計時脈衝, 則其輸出級 (MSB) 的輸出脈波波形為何? (A) 頻率 1.4kHz, 工作 週期 38.46% (B) 頻率 1.4kHz, 工作週期 66.7% (C) 頻率 18.2kHz, 工 作週期 33.33% (D) 頻率 18.2kHz, 工作週期 66.7% 34. ( ) 以 NAND 閘構成的 R-S 閂, 其輸出入關係, 下列何者正確? (A) S R 1為競逐的不允許狀態 (B) S R 0則輸出入不變 (C) S 0 R 1, 則設定 Q 為 1 (D) S 1 R 0 重設 Q 為 1 35. ( ) 10 級的環形計數器, 其最高計數值為 (A) 1 (B) 9 (C) 10 (D) 20 36. ( ) 如圖所示, 當 LOAD=0 時, 計數器的狀態為 (A) 正常上數 (B) 正常下數 (C) Q3Q2Q1Q0=P3P2P1P0 (D) Q3Q2Q1Q0=0000 37. ( ) 8 位元串列右移位暫存器中, 若一開始 Din=1 且輸出全部為 0, 在經 4 個時序脈波輸入後, 其輸出由左到右依序為 (A) 10101010 (B) 01010101 (C) 00001111 (D) 11110000

38. ( ) 如圖所示之計數器, 當 CKE=0 時, 計數器的狀態為 (A) 暫停計數 (B) 正常計數 (C) 清除 (D) 載入 39. ( ) 如圖所示電 路, 假設 ABC 之初始值為 110, 則 CK 經過 4 個時序脈波後,ABC 之值為 (A) 100 (B) 001 (C) 111 (D) 010 40. ( ) 一個 JK 正反器若將其接成如圖所示的電路時, 則為 (A) R-S 正反器 (B) JK 正反器 (C) T 型正反器 (D) D 型正反器 41. ( ) 下列何者是同步計數器的優點? (A) 電路簡單 (B) 速度快 (C) 易於設計 (D) 計數值較大 42. ( ) JK 正反器 J K 輸入端皆為 0 時, 當時序脈波輸入後, 輸出端 Q 之狀態為何? (A) 0 (B) 1 (C) 不變 (D) 原來 Q 的反相 43. ( ) 如圖所示電路, 假設 D 型正反器 Q1Q2 之初始值為 00, 若輸入訊號 X 依序為 1001( 時序先後由左至右 ), 試求對應輸入所產生之 Q2 訊號依序為何? (A) 0110 (B) 0101 (C) 1101 (D) 0111 44. ( ) 以 NOR 閘構成的 R-S 閂, 其輸出入關係, 下列何者錯誤? (A) S=R=0 則 Q 與 Q 都不變 (B) S=R=1 則形成競逐狀態 (C) S=0 R=1 則 Q=1 Q =0 (D) S=1 R=0 則 Q=1 Q =0 45. ( ) 如圖所示電路, 假設 T 型正反器 QAQBQC 之初始值為 000, 試問該電路為模數多少之計數器? (A) 8 (B) 7 (C) 6 (D) 5

46. ( ) 由 4 只正反器構成的強森計數, 若其第一級的 J 和 K 分別由最後一級的 Q 和 Q 回授, 則其模數為 (A) 4 (B) 6 (C) 7 (D) 8 47. ( ) 同上題, 若將 K 改由倒數第 2 級的 Q 回授, 則其模數為 (A) 4 (B) 6 (C) 7 (D) 8 48. ( ) 同一題, 若時序信號頻率為 40kHz, 則其各級正反器的輸出 (QA QB QC 和 QD) 頻率分別為幾 khz? (A) 40 20 10 5 (B) 20 10 5 25 (C) 10 10 10 10 (D) 5 5 5 5 49. ( ) 如圖, 由 CP 到 Vo 為除以多少 計數器? (A) 5 (B) 6 (C) 7 (D) 8 50. ( ) 圖中, 是為除幾的計數器? (A) 2 (B) 3 (C) 4 (D) 5 51. ( ). J K 正反器的輸出 Q 要由 0 變 1, 則其 J K 輸入要求分別為何? (A) 0 (B) 1 (C) 1 (D) 0 52. ( ). 如圖所示之電路係為 (A) 除 8 的同步計數器 (B) 除 6 的非同步計數器 (C) 除 5 的非同步計數器 (D) 除 5 的同步計數器 53. ( ) 有關正緣觸發 JK 正反器之敘述, 下列何者正確? (A) 當 J=K=1 且時序脈波上升時, 使輸出變為原來的補數 (B) 當 J=K=1 且時序脈波下降時, 使輸出變為原來的補數 (C) 當 J=K=1 且時序脈波不變時, 使輸出變為原來的補數 (D) 當 J=K=0 且時序脈波上升時, 使輸出變為原來的補數 54. ( ) 右圖 JK 正反器為正緣觸發, 且 J=K=1, 則下列

輸入 (clock) 及輸出 (Q) 何者正確? (A) (B) (C) (D) 55. ( ) D 型正反器組成之六位元串列右移暫存器, 若最左邊正反器 D 輸入接到高電位, 在全部重置歸零後且經過四個脈波後, 暫存器上的資料 ( 由左到右 ) 為 (A) 101110 (B) 011110 (C) 111100 (D) 001111 56. ( ) 如圖所示, 輸入脈波 頻率為 120kHz, 則輸出之頻率為 (A) 7.5kHz (B) 10kHz (C) 20kHz (D) 40kHz 57. ( ) 如圖電路, 若將反及閘改接 QD QC, 則其模數為 (A) 8 (B) 10 (C) 12 (D) 16 58. ( ). 同上題, 若 CLK 之頻率為 60kHz, 則 QD 之輸出頻率為 (A) 12kHz (B) 10kHz (C) 6kHz (D) 5kHz 59. ( ) 如圖所示電路, 可 以用來做何種模數之計數用? (A) 4 (B) 8 (C) 10 (D) 16 60. ( ). 邏輯電路的輸出除了與目前的輸入狀態有關之外, 也與前一個輸出狀 態有關的是 (A) 組合邏輯電路 (B) 序向邏輯電路 (C) 全加器電路 (D) 浮點運算電路 61. ( ) 模 -10 同步式 BCD 上數計數器, 初值為 1000( 最右邊為 LSB), 經過 9 個時脈 (clock) 後, 輸出值應為 (A) 0001 (B) 0111 (C) 0010 (D) 1000 62. ( ) 某一 4 位元的上數二進計數器, 輸出端 D3D2D1D0 目前為 1101, 經過 5 個脈波輸入後, 計數器的輸出端 D3D2D1D0 應為 (A) 1101 (B) 0010 (C)

0000 (D) 1111 63. ( ) 如圖所示為一邏輯電路, 圖中 JK 正反器 (flip-flop) 為正緣觸發, 且 J=K=1, 則下列輸入 (CK) 及輸出 (Q) 何者為正確? (A) (B) (C) (D) 64. ( ) 由 JK 正反器組成模 -32 漣波計數器, 若每個正反器延遲時間為 20ns (1ns=10 9 秒 ), 則輸入計時脈衝的最高頻率為多少? (A) 50MHz (B) 40MHz (C) 20MHz (D) 10MHz 65. ( ) 四個 D 型正反器接成一右移暫存器, 最左邊正反器的輸入為高位準, 在輸出全部重置歸零後, 第一個時脈邊緣到達所存之字為 (A) 1111 (B) 1100 (C) 1000 (D) 0000 66. ( ) 可以由 0 依序計數至 7 後再由 0 重新計數之計數器, 我們稱為 (A) 模 -8 計數器 (B) 模 -3 計數器 (C) 模 -6 計數器 (D) 模 -256 計數器 67. ( ) 將 JK 正反器兩輸入端 J 與 K 連接在一起, 則相當於 (A) R-S 正反器 (B) D 型正反器 (C) T 型正反器 (D) 反相器 68. ( ) 在 4 位元通用暫存器中,Q3Q2Q1Q0=0000 P3P2P1P0=1010, 串列輸入 Din=1; 若移位 / 載入 =0( 載入 ), 則在一連串的時序脈波輸入後, 其輸出 Q3Q2Q1Q0= (A) 0000 (B) 1000 (C) 1010 (D) 0111 69. ( ) 續上題, 若移位 / 載入控制轉為 1( 移位 ), 且經 1 個時序脈波觸發後, 則 Q3Q2Q1Q0= (A) 0101 (B) 1010 (C) 1011 (D) 0000 70. ( ) 4 位元非同步計數器, 若每只正反器的延遲時間 (CLK Q) 為 10ns, 則其最高工作頻率為 (A) 100MHz (B) 40MHz (C) 25MHz (D) 10MHz 71. ( ) 單一正反器, 可儲存多少個位元的資訊? (A) 1 位元 (B) 2 位元 (C) 4 位元 (D) 8 位元 72. ( ) 如圖,NOR 閘組成的 R-S 電閂, 下列敘述何者

有誤? (A) S=L,R=L 則 Q 不變 (B) S=H,R=L 則 Q=L (C) S=L,R=H 則 Q=L (D) S=H R=H 則形成競逐狀態 73. ( ) 如圖 所示的 74164, 若一開始輸出都為 0, 且 A=B=1, 在連續 3 個時序脈波輸入後, 其 QAQBQCQDQEQFQGQH = (A) 11100000 (B) 00000111 (C) 00011111 (D) 11111000 74. ( ) 何者是 4 位元二進計數器? (A) 7493 (B) 7492 (C) 7490 (D) 74190 75. ( ). 通用暫存器具有哪些功能? (A) 並入 / 並出 並入 / 串出 (B) 串入 / 串 出 串入 / 並出 (C) 參狀態輸出 (D) A 與 B 選項皆是 76. ( ) 下列何者是移位暫存器的主要功能? (A) 計數 (B) 計時 (C) 計算 (D) 資料暫存 77. ( ) 資料匯流排結構的敘述, 下列何者有誤? (A) 是一組連接的導線 (B) 所有欲將資料傳送至匯流排的元件都需有三狀態結構 (C) 所有要從 匯流排載入資料的裝置都需要有三狀態結構 (D) 不能同時有兩組 ( 含 ) 以上的資料同時匯入匯流排 78. ( ) 如圖所示模 -3 計數器, 其輸出狀態依序為 (A) 00 01 10 (B) 11 01 10 (C) 11 10 01 (D) 00 10 01 79. ( ) 7490 做 BCD 計數時, 下列何者正確? (A) QA 接回 InB, 時序由 InA 輸 入 (B) QA 接回 InA 時序由 InB 輸入 (C) 將 QB QD 分別接回 R0(1) R0(2) (D) 將時序直接由 InA 及 InB 輸入即可 80. ( ) 電子錶的時間脈波是由何者除頻而得? (A) 60Hz 交流信號 (B) NE555 計時電路 (C) 史密特閘 R-C 振盪 (D) 石英晶體振盪 81. ( ) 何者非計數器的主要功能? (A) 計數 (B) 計時 (C) 分時 (D) 儲存 82. ( ) BCD 計數器為何? (A) 7493 (B) 7492 (C) 7491 (D) 7490

題型分為單選題 填充題 問答題三部分 一 單選題 ( ) 1. 編號 7400 的 IC 內含有 4 個 NAND 基本邏輯閘, 則該 IC 屬於 (A)SSI (B)MSI (C)LSI (D)VLSI ( ) 2. 下列對積體電路的敘述, 那一項是錯誤? (A) 溫度穩定性良好 (B) 體積小 (C) 交換 (switching) 時間長 (D) 可靠度高 ( ) 3. 所謂超大型積體電路 (VLSI) 係指在一個半導體晶片上的零件數目為 (A)10~ 100 個 (B)100~1000 個 (C)1000~10000 個 (D)10000 個以上 ( ) 4. 十六進位其值為 (19.C) 16, 轉換為八進位, 其值為 (A)(47.4) 8 (B)(34.5) 8 (C)(51.7) 8 (D)(31.6) 8 ( ) 5. 某二進位數為 01011010 (2), 其十進位數為 (A)58 (B)72 (C)90 (D)91 ( ) 6. 十進位 12.25 等於二進位的 (A)1100.01 (B)1100.10 (C)1101.01 (D)1101.10 ( ) 7. 下列何者所代表之數值與其他不同? (A)1011111 (2) (B)135 (8) (C)5F (16) (D)95 (10) ( ) 8. 十進制 14.25 化成二進制時, 應為 (A)1101.11 (B)1011.01 (C)1110.01 (D)1110.10 ( ) 9. 二進制碼中的 101101 代表十進制的 (A)35 (B)45 (C)54 (D)60 ( ) 10. 在 2 進制數 1001101111000101 以 16 進制表示為 (A)9BC5 (B)C9E5 (C)C8B3 (D)9AD7 ( ) 11.10.10001 不等於 (A)2.42 (8) (B)2.88 (16) (C)2.53125 (10) (D)2.21 (4) ( ) 12.0.10110 (2) 的 1 的補數為 (A)1.01001 (B)1.01001 (C)0.01001 (D)0.01010 ( ) 13. 下列何者不是 BCD 碼? (A)0000 (B)0111 (C)1001 (D)1111 ( ) 14.F 的 ASCII 碼是 1000110, 則 K 的 ASCII 碼為 (A)1001001 (B)1001010 (C)1001011 (D)1001100 ( ) 15. 採用奇同位 (odd parity) 錯誤偵測法傳送 7 位元資料, 以下為接收到的各筆資 料 ; 何者可確知在傳送中有錯誤發生? (A)11100000 (B)10110000 (C)10001111 (D)10101010 ( ) 16. 下列邏輯閘中, 何種閘為 只有當所有輸入均為 0 時, 輸出才是 1? (A) (B) (C) (D) ( ) 17. 如圖所示電路, 試問屬於何種邏輯閘? 1

(A) 及閘 (B) 或閘 (C) 互斥或閘 (D) 反或閘 ( ) 18. 如圖所示之電路,A 和 B 為輸入,F 為輸出, 則此電路為 (A)AND 閘 (B)NAND 閘 (C)NOR 閘 (D)OR 閘 ( ) 19. 在基本邏輯閘中, 那一種閘是當所有輸入均為 1 時, 輸出才 0 的邏輯 閘? (A) (B) (C) (D) ( ) 20. 下列敘述何者不正確? (A) 編號 7486 的 IC 為 4 位元二進制加法器 (B) 可用 7483 與邏輯電路完成 BCD 加法器 (C)52 (D) 的 10's 補數為 48 (D) (D)BCD 加法 器內之校正加法器功能為加 6 ( ) 21. 某一解碼器的輸出端共有 64 種不同的組合則其輸入端應有幾個輸入線? (A)64 (B)32 (C)6 (D)4 ( )22. 二對一線多工器有 Z 輸出和 A B 兩資料輸入, 其選擇輸入為 S, 則 (A) A AS BS (B) Z ( A S)( B S) (C) Z AS BS (D) Z AS BS ( ) 23. 一個具有 36 條資料輸入線之多工器 (MUX), 至少需要用幾條選擇線? (A)5 條 (B)6 條 (C)12 條 (D)18 條 ( ) 24. 一個七段顯示器, 只有 b c f g 等四段亮, 則顯示數字為 (A)4(B)5(C)6(D)7 ( ) 25. 多工器的輸出端有 (A)1 個 (B)2 個 (C)3 個 (D)4 個 ( ) 26. 微電腦介面控制共陰極 7 段顯示器, 如圖所示簡圖, 若 Abcdefg =1001110, 則 顯示器輸出為 (A) (B) (C) (D) ( ) 27.SN7447 的主要功能為以下何項? (A)BCD 至十進制轉換 / 驅動器 (B) 無穩 態脈波產生器 (C)BCD 至共陽極七段顯示器之轉換 / 驅動器 (D)BCD 至共陽 極七段顯示器之轉換 2

( ) 28. 對於如圖所示之組合邏輯 (1) 時,( 其餘 A B 為 f 10) 1 2 3 (2)A=B 時,( 其餘 f 1為 0) (3) 時, A B ( f 1其餘為 0); 則其邏輯方程式 f (A) A B (B) AB AB (C) A B (D) A B ( ) 29. 邏輯電路的輸出除了與目前的輸入狀態有關之外, 也與前一個輸出狀態有關的是 (A) 組合邏輯電路 (B) 序向邏輯電路 (C) 全加器電路 (D) 解多工器電路 ( ) 30. 如圖所示的正反器之時脈 CLK 觸發形式為 1 (A) 正緣觸發 (B) 負緣觸發 (C) 正緣與負緣皆觸發 (D) 無觸發 ( 31. 如圖所示, 當 A 1時, 若輸入之脈波頻率為 1kHz, 則輸出 Q 之脈波頻率為 (A)2kHz (B)1kHz (C)500Hz (D)250Hz ( ) 32. 如圖所示為部分的 4013 接腳符號圖, 下列敘述何者錯誤?(H: 高態,L: 低 態 ) (A) 屬正緣觸發的 D 型正反器 (B) 正常動作時,SET 與 RESET 同時接 L (C)SET 及 RESET 可同時接 H (D)SET 接 H,RESET 接 L, 則 Q 輸出為 H ( ) 33. 如將 JK 正反器之兩輸入端接成圖所示, 則成為何種電路? 3

(A)RS 正反器 (B)T 型正反器 (C)D 型正反器 (D) 解碼器 ( ) 34. 有關如圖電路的敘述, 下列何者較為正確? (A) 當 V 1 =0 時,V 0 為鋸齒波 (B) 當 V 1 =1 時,V 0 為鋸齒波 (C) 當 V 1 =0 時, V 0 為脈波 (D) 當 V 1 =1 時,V 0 為脈波 ( ) 35. 設計一個計數到 100 之非同步計數器, 至少需多少個正反器? (A)5 (B)6 (C)7 (D)8 ( ) 36. 設計一個可計數 1500 個狀態的漣波計數器, 最少需要使用幾個正反器? (A)11 (B)10 (C)9 (D)8 ( ) 37. 下列何者非數位信號的優點? (A) 不易受雜訊干擾 (B) 容易儲存及還原 (C) 傳送速度快 (D) 可精確表示原信號 ( ) 38. 下圖為雙排包裝 (DIP) 之數位 IC 接腳外觀圖, 圖中打? 之接腳號碼為 (A)9 (B)13 (C)2 (D)6 ( ) 39. 二進位的 1110.01 等於十進位的 (A)10.75 (B)13.25 (C)14.25 (D)28.75 ( ) 40. 二進制的 0100111000 相當於十進制的 (A)156 (B)158 (C)312 (D)318 ( ) 41. 十六進制數 1D3, 其十進制數為 (A)451 (B)457 (C)463 (D)467 ( ) 42. 十進制 19.375 化成二進制時, 應為 (A)10011.011 (B)101010.011 (C)10101.001 (D)10101.101 ( ) 43. 十六進位數值 75 的 2 補數為 ( 以上數值均為十六進位 ) (A)8A (B)8B (C)8C (D)8D ( ) 44. 二進制數為 00001101, 其 2 的補數 (2 Complement) 為下列何者? (A)00001110 (B)10001101 (C)11110010 (D)11110011 ( ) 45. 如下圖所示之組合邏輯電路, 其輸出 Y 的布林函數為 (A) (B) (C)Y=ABCD (D)Y=(A+B).(C+D) (E) ( ) 46. 如下圖所示, 如以正邏輯考慮, 下列何種情況會使輸出 Z = 1? 4

(A)A > B (B)A = B (C)A < B (D)A B ( ) 47. (A) (B) (C) (D) ( ) 48. 布林函數與下列何者作用相同? (A) (B) (C) (D) ( ) 49. 如下圖所示, 下列敘述何者有誤? (A)C = 1, 則 Y = AB (B)C = 0, 則 Y = 1 (C)B = 1, 則 Y = AC (D)B = 0, 則 Y = 0 ( ) 50. 如圖 (1) 所示之卡諾圖, 則 (A, B, C, D) 之最簡布林代數式為 (A) (B) (C) (D) ( ) 51. 可簡化成 (A) (B) (C) (D) ( ) 52. 如圖所示,JK 正反器經外部接線後變為 5

(A)RS 正反器 (B)D 型正反器 (C)T 型正反器 (D)JK 正反器 (E) 主從 JK 正反器 ( ) 53. 欲設計一個非同步 12 模計數器, 至少需要幾個正反器? (A)3 個 (B)4 個 (C)5 個 (D)6 個 ( ) 54. 如圖 (10) 所示電路, 可以用來做何種模數之計數用? 如圖 (10) (A)4 (B)8 (C)10 (D)16 ( ) 55. 下圖是係一計數器, 依正常時脈輸入, 並將每個不同的輸出狀態依序編碼的話, 它可當那種的計數器 (A)4- 模 (Modulus) (B)5- 模 (C)6- 模 (D)7- 模 ( ) 56. 設計一模數為 100 的計數器, 最少需幾個正反器? (A)6 (B)7 (C)8 (D)9 ( ) 57. 欲設計一個同步模 -10(Mode 10) 之計數器, 至少需要幾個正反器? (A)4 個 (B)3 個 (C)2 個 (D)1 個 ( ) 58.7C.CH = (A) (B) (C) (D) ( ) 59. 對於 JK 正反器, 若原先輸出 Q=1; 則對於 J K Q 之邏輯狀態何者錯誤? (A)1 0 1 (B)0 0 1 (C)1 1 0 (D)0 1 1 ( ) 60. 正反器 (flip-flop) 屬於下列何種電路? (A) 整流器 (B) 雙穩態 (C) 無穩態 (D) 單穩態 ( ) 61.555 IC 本身電路大致可分成五部份, 即上比較器 下比較器 內部正反器 輸出驅動器及下列那一部份? (A) 觸發電容 (B) 分壓電阻 (C) 逆向二極體 (D) 放電電晶體 ( ) 62. 下列 IC, 何者由線性比較器與數位正反器組合而成? (A)NE555 (B)μA741 (C)74LS00 (D)AD590 6

( ) 63. 由 J-K 正反器組成模數 32 之漣波計數器, 若每個正反器延遲時間為 20ns, 則 輸入計時脈衝的最高頻率為多少? (A)50MHz (B)40MHz (C)20MHz (D)10MHz ( ) 64. 有一唯讀記憶體容量為 1k 8 bits 則位址線應有 (A)8 條 (B)10 條 (C)11 條 (D)9 條 (E)4 條 ( ) 65. 下列具有記憶功能的硬體, 何者速度最快? (A) 暫存器 (B) 快取記憶體 (C) 隨機存取記憶體 (D) 硬碟 ( ) 66. 下列有關記憶體的敘述, 何者是錯誤的? (A)PC 主機板上含有 ROM 及 RAM 記憶體 (B) 當電源停掉後 RAM 的內容就會消失 (C) 一般而言 SRAM 的存取 速度比 DRAM 慢 (D) 欲改善 CPU 到主記憶體的存取速度可以使用快取記憶 體 ( ) 67. 下列何者為快取記憶體所使用的元件 (A)SRAM (B)DRAM (C)EPROM (D)EEPROM ( ) 68. 在微電腦系統中執行一程式時, 當產生中斷要求或呼叫副程式時, 大部分會 將 PC 值及特定狀態存於何處以便於返回主程式 (A) 暫存器 (B) 堆疊 (C) 外 部緩衝器 (D)ALU ( ) 69. 下列資料儲存單元, 何者讀取資料的速度最快? (A) 暫存器 (B)CACHE (C) 主記憶體 (D) 硬碟 ( ) 70. 下列何者是 8088 所使用的 DMA 控制器的 IC 編號? (A)8237 (B)8259 (C)8253 (D)8255 ( ) 71. 組合語言每一行可分為 4 個欄 (field),cpu 並不執行下列所述 4 個欄之中的那 一欄? (A) 標記欄 (B) 運算碼欄 (C) 運算元欄 (D) 註解欄 ( ) 72. 下列那顆晶片可作中斷控制? (A)8255 (B)8259 (C)8253 (D)8237 ( ) 73. 微處理器 80x86 執行下一次指令的位址, 由下列何者決定? (A)DS:SI (B)SS:IP (C)ES:SI (D)CS:IP ( ) 74. 下列有關 AD590 之敘述, 何者正確? (A) 為一個溫度對電流轉換的感測元件 (B) 為一個溫度對電壓轉換的感測元件 (C) 轉換率為 1μV/ K (D) 轉換率為 1 μa/ F ( ) 75. 設計 PLD 時必備的工具是 (A)PLD 燒錄器 (B)PLD 清除器 (C)PLD 模擬器 (D)PLD 測試器 ( ) 76. 可重複燒錄, 而且可直接利用電氣信號清除資料的 PLD 元件是 (A)PROM (B)PAL (C)EPLD (D)GAL ( ) 77. 下列記憶體, 何者可用電流清除其原有資料? (A)DRAM (B)PROM (C)EPROM (D)EEPROM ( ) 78. 某電腦的主記憶體為 640k, 卻可以執行 1MB 的程式, 則該電腦可能使用何種 方法 (A) 快取記憶體 (B) 虛擬記憶體 (C) 聯結記憶體 (D) 唯讀記憶體 ( ) 79. 下列何種週期, 是指 CPU 到記憶體讀取一個字 (word) 的資料所需時間 (A) 中 斷認可週期 (B) 匯流排週期 (C) 指令週期 (D)DMA 週期 ( ) 80. 下列何者是硬碟使用的界面? (A)SCSI (B)Centronic (C)RS-232C (D)IEEE-488 7

二 填充題 : 1. 是最簡單且容量最少的數位積體電路 (IC) 2. CMOS IC 的優點有哪些? (1) (2) (3) (4) (5) : 3. 3A.4 (16) = (10) 4. 8E.CH = (10) 5. 0.68758 (10) = (2) 6. 237.6 (8) = (2) = H 7. 10011111100.101 (2) = (8)= H 8. 0.1010 (2) 的 1 的補數為 (2) 9. 1011000 (2) 的 2 的補數為 (2) 10. 438.71 (10) 的 10 補數為 (10) 11. 79 (10) = (2) = (Gray) 12. 十進制數 53 (10) 轉為二進制, 並以 8 位元表示為 (2) 13. 相對應於十進位數 125.9375 之十六進位數是 (16) 14. 73.3 (10) 轉換為二進位數為 (2) ( 計算至小數點後六位 ) 15. 十六進制 80H 之值, 其二的補數為 H 16. 四位元之數位系統中,4 (10) =0100 (2), 則 -4 (10) = (2) ( 以 2 補數表示 ) 17. 將十六進位碼 (A6) 16 轉換成格雷碼 (Gray Code) 等於 18. 如圖所示為三個反相器串接的電路, 輸入端 A 與輸出端 F 的邏輯關係為 F = 19. 兩輸入端反或閘的符號為, 其布林式為 20. 兩輸入端反互斥或閘的符號為, 其布林式為 21. 如圖 6-9 所示之電路, 若 A B 輸入分別為 1001 (2) 1000 (2), 則其進位輸出 Y =, 和輸出 S 4 S 3 S 2 S 1 (2) = 22. PLD 廣受喜愛的主要原因 ( 優點 ) 為 23. 數量的表示法基本上可分為那兩種? 表示法與 表示法 24. a. 數字溫度計 b. 電子碼表 c. 人數 d. 水銀溫度計 e. 指針式電壓表 f. 傳統汽車速度表 g. 日曆 h. 傳統音響的音量控制請歸納以上幾種數量, 何者為類比量? 何者為數位 量?: 25. 由自然界中所獲得的信號大都為 信號 26. 以高電位代表邏輯 '1', 低電位代表邏輯 '0' 稱之為 以高電位代表 邏輯 '0', 低電位代表邏輯 '1' 稱之為 8

27. 若某一脈波的頻率為 5kHz, 則其週期為秒 : 28. 型包裝的 IC 是早期 SSI MSI 最常使用的包裝型式 29. 試將 MSI SSI LSI ULSI VLSI 依其容量大小順序寫出 : 30. 31. : 32. 33. 34. 35. 36. 37. 38. 39. 40. 將十進制數目化為二進制數目, 41. 十進制的表示成 3 進制值 42. 十進位數 109.5625 之八進位數表示式為 43. 轉換成為十六進制後, 其值為 44. ASCII 碼係採用 7 位元編碼, 其最多可表示個文數字碼 49. 緩衝 器的符號為, 其特性為輸出恆與輸入相同的狀態 45. 如圖所示為三個反相器串接的電路, 輸入端 A 與輸出端 F 的邏輯關係為 F = 46. 繪出如何將兩輸入端 NAND 閘轉變成反相器 (NOT gate)?: 47. 互斥或閘的特性為 : 48. (1) 1.0= (2)1.1 = (3)0+1 = (4)1+1 = (5) = (6) = 49. 的最簡 SOP 式為 : 50. 編號 7492 的計數器 IC 是除的計數器 9

51. 啟動微電腦的 BIOS 存放在 52. 佇列 (Queue) 的資料存取順序是 53. 一般 EPROM 的燒錄電壓是或 54. 8 位元的 D/A 轉換器, 其輸出步階數是步 55. GAL 的中文全名是 三 問答題 : 1. 正 負邏輯的定義為何? 2. 試寫出 1.~10. 的中文名稱 1.SMT 2.PCB 3.ADC 4.DAC 5.IC 6.MSI 7.SSI 8.VLSI 9.DIP 10.PGA 3. 請指出 84.125(10) 數目的 MSD LSD 及其權值 4. 試指出下列二進位數的 MSB LSB 數字及其權值各為何? (1)110010100(2)(2)1011.0011(2) 5. 試利用下表, 查出下列各文數字的 ASCII 碼 ( 以 16 進位表示 )(1)T (2)h (3)8 10

6. 試將 391(10) 轉換成 BCD 碼及加三碼 7. 繪出如何將兩輸入端 NOR 閘轉變成反相器 (NOT gate)? 8. 繪出如何將兩輸入端 NAND 閘轉變成反相器 (NOT gate)? 11

9. 如圖所示為一個 3 輸入端 XOR 閘的輸入波形時序, 試完成 ( 繪出 ) 相對應的 F 輸出波 形時序 10. 試繪出由兩輸入的 NAND 閘組成等效兩輸入的 OR 閘的電路 11. 試繪出由兩輸入的 NOR 閘組成等效兩輸入的 NAND 閘的電路 12. 試將布林代數式化為最簡式 ( A B C)( A B C) 13. 化簡 XY XY YZ YZ 12

14. 試完成下列卡諾圖方格的最佳圈選 ( 圈愈大愈好 愈少愈好, 但圈的方格數以格為 原則 ) 15. 試將函數 Y( A, B, C, D) Σ(0,1, 2, 5, 8,14) d(4,10,13) 化為最簡 SOP 式與最簡 POS 式 16. 化簡 f ( A, B,C, D) (2, 3, 8,10,12) 最簡 SOP 式 17. 簡化布林函數 為最簡的積之和 (SOP) 式 f ( A, B, C, D) ABCD ABCD ABCD AB ABD 18. 簡化布林函數 為最簡的積之和 (SOP) 式 f ( A, B, C, D) A B C D A BCD ACD ABCD AB C D 13

19. 簡化布林函數 為最簡的積之和 (SOP) 式 f AC BCE ABC ACE A B E 20. 簡化布林函數 為最簡的和之積 (POS) 式 f ( A B)( A B C)( A B C)( A B C D)( A B C D) 21. 簡化布林函數 f ( A, B, C) (0, 2, 6) d(3, 5, 7) 為最簡的和之積 (POS) 式 22. 化簡 f ( A, B,C, D)= (2, 3, 8,10,12) 為最簡 (SOP) 式 23. 化簡 f ( A, B,C, D)= (1, 2, 5, 6, 9)+ d(10,11,12,13,14,15) 為最簡 SOP 式 14

24. 化簡 f ( A, B, C, D) A B C D BCD AC A 為最簡 SOP 式 25. 試利用反或閘 (NOR) 組合完成布林函數 f ( A B)( C D) 26. 試利用反及閘 (NAND) 組合完成布林函數 f AB BC AC 27. 試完成半加器之真值表 (A 為被加數,B 為加數 ), 並寫出其輸出 ( 和 進位 ) 之布林函 數, 再依布林函數繪出組合電路圖 28. 試完成全加器之真值表 (A 為被加數,B 為加數,Ci 為前一位元的進位 ), 並寫出其輸 出 ( 和 進位 ) 之最簡布林函數 15

29. 試完成全減器之真值表 (A 為被減數,B 為減數,Bi 為前一位元的借位 ), 並寫出其 輸出 ( 差 借位 ) 之最簡布林函數 30. 解碼器 (decoder) 的功用為何? 16

31. 編號 74138 74139 分別為多少線對多少線的解碼 IC? 32. 簡述編碼器 (encoder) 與解碼器 (decoder) 的不同? 33. 如圖所示為二極體所構成的編碼器, 當 b d 按鈕分別被壓下時, 輸出值 (Q2Q1) 分別為何? 34. 多工器 (multiplexer) 的功用為何? 35. 簡述多工器 (multiplexer) 與解多工器 (demultiplexer) 的不同? 36. 就 IC 容量的多寡, 試將 SPLD CPLD FPGA 三者由大至小排列 17

37. 試繪出 JK 正反器轉變成具有 T 型正反器功能的電路 38. 如圖 8-17 的 6 模上數漣波計數電路, 設正反器的傳遞延遲時間為 50ns, 反及閘的 傳遞延遲時間為 10ns, 則該計數電路最大的傳遞延遲時間為多少 ns? 其最高時脈輸 入頻率為多少 Hz 39. 試利用兩顆編號 74193 的 IC, 完成 ( 繪出 ) 一個除 256 的下數計數器 40. 試利用 D 型正反器組成 ( 繪出 )5 模的環形計數器, 並寫出輸出的狀態表 41. 試利用 JK 正反器組成 7 模的強生計數器, 並寫出輸出的狀態表 42. 嘗試描述如圖所示的狀態圖, 並將其轉換為相對應的狀態表 18

43. 試分別以 D 型正反器與 JK 正反器來設計一個計數值為 7~0 的同步下數計數器 44. 試以 JK 正反器來設計一個計數值為 0,2,4,3,6,7,. 循環變化的電路 45. 何者類比信號與數位信號? 46. 正 負邏輯的定義為何? 47. 請指出 84.125 數目的 MSD LSD 及其權值 48. 試指出下列二進位數的 MSB LSB 數字及其權值各為何? 19

49. 試將轉換成 BCD 碼及加三碼 50.n 個輸入端的及閘 (AND), 其輸出狀態為邏輯 0 及邏輯 1 的情況, 各有幾種? 51. 試寫出布林代數的假說與基本定理 52. 試完成下列卡諾圖方格的最佳圈選 ( 圈愈大愈好 愈少愈好, 但圈的方格數以格為 原則 ) 53. 簡化布林函數 f(a,b,c,d)=σ(0,1,2,3,6,7,13,15) 為最簡的積之和 (SOP) 式 54. 簡述編碼器 (encoder) 與解碼器 (decoder) 的不同? 55. 如圖所示為二極體所構成的編碼器, 當 b d 按鈕分別被壓下時, 輸出值分 20

別為何? 56. 就 IC 容量的多寡, 試將 SPLD CPLD FPGA 三者由大至小排列 57. 試繪出如何將 RS 正反器與 JK 正反器轉變成具有 D 型正反器的功能 58. 欲設計一個 1000 模的計數器, 最少需要使用多少個正反器? 59. 試分別寫出 RS 正反器 JK 正反器 D 型正反器及 T 型正反器的激勵表 60. 寫出 D 型與 T 型正反器的激勵表 (5%) 21