ebook105-1

Similar documents
場效電晶體簡介.doc

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

未完成的追踪(提纲)

第一部分 公共基础知识

山东2014第四季新教材《会计基础》冲刺卷第二套

SIGNUM 3SB3

优合会计考点直击卷子之财经法规答案——第八套

untitled


BUSNET

Microsoft Word - 最新正文.doc

北京2014年会计从业资格考试《会计基础》备考机试卷一


考 查 知 识 点 肝 气 疏 泄 调 畅 气 机 的 作 用, 主 要 表 现 在 以 下 几 个 方 面 :(1) 促 进 血 液 与 津 液 的 运 行 输 布 ;(2) 促 进 脾 胃 的 运 化 功 能 和 胆 汁 分 泌 排 泄 ;(3) 调 畅 情 志 ;(4) 促 进 男 子 排 精

就 构 成 了 盗 窃 罪 与 破 坏 交 通 设 施 罪 的 想 象 竞 合, 按 照 其 中 处 罚 较 重 的 犯 罪 处 罚 5. 答 案 :B 本 题 主 要 考 察 如 何 区 分 收 买 被 拐 卖 的 妇 女 儿 童 罪 与 拐 卖 妇 女 儿 童 罪 的 共 犯 问 题 ( 对 向

zyk00168ZW.PDF

2 A

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

a b c d e f g C2 C1 2


Microsoft Word 司考真?行政法勘?大表.doc

! "#$! " # $%%&#! ()*+, - %& - %.,/ - /!! ! " ! #0 $ % &0 123.! 4(5 $%%& %3 &$!!!!!!!!!!!!!!! % % - /&%.&.33!!! &! 3%% - 3 % -

zt

<4D F736F F D B3F5BCB6BBE1BCC6A1B6BFBCB5E3BEABBBAAA1B72E646F63>

Ps22Pdf

过 程 排 除 A 正 确 答 案 是 B 14.A 解 析 本 题 考 查 思 修 第 八 章 中 国 人 权, 新 增 考 点 其 中 直 接 考 查 宪 法 保 障 是 人 权 保 障 的 前 提 和 基 础 A 人 权 保 障 的 最 后 防 线 是 司 法 保 障,B 人 权 保 障 的

5. 英 国 经 济 学 家 哥 尔 柏 说 : 税 收 这 种 技 术, 就 是 拔 最 高 的 鹅 毛, 听 最 少 的 鹅 叫 此 话 不 免 有 几 分, 但 却 形 象 地 说 明, 制 定 税 收 政 策 必 须 寻 找 一 个 合 适 的 点 依 次 填 入 划 横 线 部 分 最 恰

Ps22Pdf

校园之星


2007 /,. :, ISBN D : : : : 2 : : http: / / www. wendu. com : , 832 : : : /

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

第 一 信 封 详 细 评 审 第 二 信 封 初 步 评 审 (5) 投 标 人 以 联 合 体 形 式 投 标 时, 联 合 体 协 议 书 满 足 招 标 文 件 的 要 求 : 投 标 人 按 照 招 标 文 件 提 供 的 格 式 签 订 了 联 合 体 协 议 书, 并

列 出 所 有 的 非 負 整 數 解, 係 數 越 大 者 越 先 決 定, 故 先 決 定 z, 再 決 定 y, 最 後 決 定 x, 故 有 = 8 ( 種 ) x y z

五花八门宝典(一).doc

untitled



附件1:

bingdian001.com

2012年国家公务员考试行测真题及参考解析

Microsoft Word - cjfg_jy0201.doc

2013年云南省公务员考试真题解析(完整版)春闻网

2.181% 0.005%0.002%0.005% 2,160 74,180, ,000, ,500,000 1,000,000 1,000,000 1,000,000 2

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分

untitled

<443A5CD7C0C3E65CC8BAD7CAC1CF5C F73662E646F63>

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

九下新学期寄语.indd

<4D F736F F D F F315FAAFEA5F333AAF9B645C2E5C0F8AA41B0C8C249BCC6B24DB3E6B443C5E9A5D3B3F8AEE6A6A12E646F63>

WinXP

!# $#!#!%%& $# &% %!# (# )#! "

例 009 年高考 全国卷Ⅱ 理 8 如 图 直 三 棱 柱 ABC ABC 中 AB AC D E 分 别为 AA BC 的中点 DE 平面 BCC 证明 AB AC 设二面角 A BD C 为 0o 求 BC 与平面 BCD 所 成角的大小 图 - 略 证明 以 D 为坐标原点 DA DC DD

(黃).indd

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

Ps22Pdf

考试大2011年高考试题答案

Microsoft PowerPoint - STU_EC_Ch04.ppt

山东2014第四季新教材《会计基础》冲刺卷第三套

邏輯分析儀的概念與原理-展示版

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 7. 根 据 中 华 人 民 共 和 国 会 计 法 的 规 定, 对 登 记 会 计 账 簿 不 符 合 规 定 的 单 位 县 级 以 上 人 民 政 府 财 政 部 门 责 令 限 期 改 正, 并 可 以 处

!!! "!! # " $ % & % & " &"($

Ps22Pdf

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

5m m SE2L m 1 170cm 70cm RS IDEC 2 RF2 4 6 RF1V 2


!##$!% "&! %( $#!##)!& $!##*!##*! "


!!"#$ " # " " " " " "$%%& " $%% " "!!


A.68 B.70 C.80 D.100 答 案 A 解 析 丁 产 品 的 可 变 现 净 值 =110-2=108( 万 元 ), 成 本 =100+40=140( 万 元 ), 可 变 现 净 值 低 于 成 本, 产 品 发 生 的 减 值, 所 以 丙 材 料 的 可 变 现 净 值 =1

Microsoft Word 生物02.doc

( CIP. :, / ISBN D CIP ( ( 010) ( ) ( 010) / ( ) ( 010) 884

高二立體幾何


ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19" NO x NO x ULTRAMAT 23 6mm 1/4 TÜV


bingdian001.com

Microsoft PowerPoint - CH03中文

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5



PowerPoint 演示文稿

untitled

2013年3月国家教师资格统一考试

2011年6月证券《发行与承销》考试真题

精 品 库 我 们 的 都 是 精 品 _www.jingpinwenku.com 考 点 考 题 精 讲 依 据 最 新 颁 布 的 考 试 大 纲 的 要 求, 需 要 明 确 以 下 考 点 : 掌 握 新 股 公 开 发 行 和 非 公 开 发 行 的 基 本 条 件 一 般 规 定 配 股

Ps22Pdf

Ps22Pdf

中華民國青溪協會第四屆第三次理監事聯席會議資料

!"!"# # $!""%& ()*+, - ". - "/!%,0 -.! $ " $ # $ $ $ 1 %%&0/! 2(3!""% "/%,.4 "/" -." "" - 5/" - "045 /"""" # # 999$ 6:8$ :;<$ =>


!"#$!"%&!"$!""( )( )( #( "#*!&#) %&*!(+,- %.!/( )( #( ,-2 89 /

2006ÄêÈ«¹ú˶ʿÑо¿ÉúÈëѧ¿¼ÊÔÕþÖÎÀíÂÛÊÔÌâ¼°´ð°¸

$!#!"!"! # $!%%! & ( ) ) ( * &% #% - (%!B &% CB # $!#%& #!#%& $!#%& " * &&!#%& ( ) ) # ( &! &)( &+ &# $)! &$ "&( &( & *+(!,(-& &,.+/ ,

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1.pdf


Transcription:

C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1

2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( )

1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P U 1.3 A 0 1 A A=0 A= 1 ( 1-1 ) A A 0 A 1 A A 0 N O T NOT (A) = A ( 1-2 ) NOT (0) = 1 NOT (1) = 0 N O T A A ( 1-3 )

4 ( Y E S N O ) ( b i t ) a 3 a 2 a 1 a 0 a i 0 1 i= 0, 1, 2, 3 4 b i t d a t a d a t a =a 3 a 2 a 1 a 0 ( 1-4 ) a 3 a 0 d a t a 16 1 data= 0000, 0001, 0010, 0011 0100, 0101, 0110, 0111 1000, 1001, 1010, 1011 1100, 1101, 1110, 1111 ( 1-5 ) d a t a = 0 1 0 1 a 3 =0 a 2 =1 a 1 =0 a 0 = 1 ( 1-6 ) 2 4 = 16 0 1 4 b i t d a t a 16 8 b i t Info = X 7 X 6 X 5 X 4 X 3 X 2 X 1 X 0 ( 1-7 ) 2 8 = 256 16 b i t 2 16 =65 536 d a t a 4 b i t I n f o 8 b i t b i t 8 b i t 2 10 1 K b 1024 b i t (

1 5 ) 1 K = 1000 = 10 3 1Mb = 1024 Kb 1 M 10 6 =1 000 000 100 ( b ) ( B ) 1B = 8b 1.4 2 2 = 4 2 b i t D = D 1 D 0 D 1 D 0 D D = 00 => D = 01 => D = 10 => D = 11 => D D= 01 / 1-3 1-3

6 1.5 ( 0 9 ) r r 10 1 0 1 2 3 4 5 6 7 8 9 0 1 2 ( ) 2 2 10 N 10 2 b 2 42 2 1.5.1-10 0 9 4 b i t 2 3 < 10 < 2 4 4 - b i t N = N 3 N 2 N 1 N 0 10 2 10 1-1 N = N 3 N 2 N 1 N 0 = N 3 2 3 + N 2 2 2 + N 1 2 1 + N 0 2 0 ( ) ( 1-8 ) N j 10 2 j = N 3 8 + N 2 4 + N 1 2 + N 0 1 ( ) 4 b i t N = 0110 10 N = 011 0 = (0 8)+ (1 4)+ (1 2)+ (0 1) ( ) = 4+2 ( ) ( 1-9 ) = 6 ( ) 0110 6 N= 1 0 11 N = 1011 = (1 8)+ (0 4)+ (1 2)+ (1 1) ( ) = 8+2+1 ( ) ( 1-10 ) = 11 ( ) 4 b i t

1 7 0 1 5 1-4 0 n 2 n 0 ( 2 n - 1 ) k 2 k 8 b i t 2 8 = 256 10 0 2 5 5 2 B = b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 = b 7 2 7 +b 6 2 6 +b 5 2 5 +b 4 2 4 + ( 1-11 ) b 3 2 3 + b 2 2 2 + b 1 2 1 + b 0 2 0 2 7 = 1 2 8 2 6 = 6 4 2 5 = 3 2 2 4 = 1 6 2 3 = 8 2 2 = 4 2 1 = 2 2 0 = 1 ( 1-1 2 ) 0 1 1 2 8 6 4 3 2 1 6 8 4 2 1 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 0 1 1 1-2 8 b i t 1-4 X = 010111 0 0 X = 0+64+0+16+8+4+0+0 = 92 ( 1-1 3 ) 8 b i t 2 9 2 10 n - b i t A = A n- 1 A n- 2... A 2 A 1 A 0 ( 1-1 4 )

8 A = A n- 1 2 n- 1 +A n- 2 2 n- 1 +... + A 2 2 2 +A 1 2 1 +A 0 2 0 ( 1-1 5 ) 10 1.5.2 10 ( ) N b 2 R b 1-3 - 10 19 19 2 R 0 =1 ( 1-1 6 ) R 0 = 1 ( L S B ) L S B 2 0 = 1 2 R 1 R 1 =1 ( 1-1 7 ) R 2 =0 R 3 =0 ( 1-1 8 ) R 4 =1 0 ( M S B ) M S B M S B 2 4 19 10 b = R 4 R 3 R 2 R 1 R 0 = 1 0 0 11 ( 1-1 9 ) 10011 2 ( ) 10 1-4 L S B ( ) M S B ( ) 56 R 0 =0 ( 1-2 0 )

1 9 R 1 =0 R 2 =0 R 3 =1 ( 1-2 0 ) R 4 =1 R 5 =1 56 10 = 111000 2 1.5.3 b= 0.b - 1 b - 2 b - 3... ( 1-2 1 ) 10 F 2 1-5 F = b - 1 2-1 +b - 2 2-2 +b - 3 2-3 +... = b - 1 ( 0. 5 ) +b - 2 ( 0. 2 5 ) +b - 3 ( 0. 1 2 5 ) +... ( 1-2 2 ) 2 -x 1 F 10 1 b= 0. 10110 10 0. 1 0 11 0 2 = 0.6875 1 0 F = b - 1 2-1 +b - 2 2-2 +b - 3 2-3+ b - 4 2-4 + b - 5 2-5 = 1 ( 0. 5 ) + 0 ( 0. 2 5 ) + 1 (0.125)+ 1 ( 0. 0 6 2 5 ) + 0 ( 0. 0 3 1 2 5 ) = 0.5+0.125+0.0625 = 0.6875 ( 1-2 3 ) - 10 F 2 m=b. x x x x x b= 1 b= 0 m< 1 2 m 1 2 1-6 0. 6875 10 2 ( 0. 6875 ) 2=1.375 b - 1 = 1 ( 1-24 ) 1. 375 1 ( 1. 375-1. 000 ) = 0. 375 ( 0. 375 ) 2=0.75 b - 2 = 0 ( 1-25 ) ( 0. 75 ) 2=1.5 b - 3 = 1 ( 1-26 ) 1 ( 1. 50-1. 00 ) = 0. 5

10 ( 0. 5 ) 2=1.00 b - 4 = 1 ( 1-27 ) 1 0 0. 6 8 7 5 1 0 = 0.b - 1 b - 2 b - 3 b - 4 = 0.1011 ( 1-2 8 ) - 10-2 0.x - 1 x - 2 x - 3 x - 4 4 b i t - 10 X X = 0.x - 1 x - 2 x - 3 x - 4 = x - 1 (0.5)+ x - 2 (0.25)+ x - 3 (0.125)+ x - 4 ( 0. 0 6 2 5 ) ( 1-2 9 ) x 3 x 0 X a = 0. 1110 X b = 0. 1111 X a = 0.8750 X b = 0.9375 ( 1-3 0 ) 0. 0625 4 b i t 0. 9270 0.x - 1 x - 2 x - 3 x - 4 X b = 0. 1111 = 0. 9 3 7 5 0. 9 2 7 0 / 5 b i t X c = 0. 11101 0. 90625 0. 9270 X a = 0. 111011 0. 921875 11 1.5.4 16 16 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F ( 1-3 2 ) A, B, C, D, E F (a, b, c, d, e, f) 16 H = 304E 3 E 4 H = h 3 h 2 h 1 h 0 10 N ( 1-3 1 ) N=h 3 1 6 3 + h 2 1 6 2 + h 1 1 6 1 + h 0 1 6 0 ( 1-3 3 ) - 10 1 6 1-5

1 11 1-5 0 F 4 4 4 1-7 16 1001110011100101 4 1001 1100 1110 0101 2 = 9C E5 1 6 = 0x9CE5 ( 1-3 4 ) 0x nnnn n n n n 1.6 ( c e l l ) 1-6 A B C f (A,B,C) 1 f= 1 f= 0 f=1 A= 1 B= 1 C= 1 f=0 0 x C

12 1-7 A X B A B A, B, C f( A, B, C ) 1-6 A A B B 1-7 b i t 4 b i t 1-8 1 2 1-8 1-9 1-9 a X Y 1-9 b X a ) b) 1-9 1-10 IDR(Integrated Device Te c h n o l o g i e s ) O r i o n T M 64

1 13 16KB & 16KB 64-bit 64-bit 1-10 1-11 1-11 1-12 ( )

14 1-12 1.7 0 1 1 0 1-13

1 15 T T s ( 1 / s ) f H z ( ) 1 H z = 1 / s t( ) 1-13 1-8 50 M H z 1 M H z ( 1 ) 10 6 H z 100 T T= 20 n s n s 1 n s = 10-9 s m ( 1 m s = 10-3 s ) µs ( 1µs = 10-6 s ) ( 1-3 5 ) s ( 1-3 6 ) 1-14 A B f f A B f = f(a, B) f 0 1 A B 0 1 1-14 1-15 D X D= 1 1 X a) b) 8 b i t 1-16 b i t

16 (1 bit) 1-15 1-16 1.8 2 c m

1 17 1.9 0 1 n b i t 1-17 CMOS IC 1-17

18 C M O S I C I C I C V H D L V H D 2 3 4 5 V H D L 5 6 C M O S C M O S C M O S 7 8 9 V H D L C M O S I C 10 11 12 1-18 1-19 N O T 1-20 1-18 1-19 CMOS 1-20 M U X 1-21

1 19 1-22 C P U 1-21 1-22 CPU 1.10 1. (a) X=x 1 x 0 (b) A=a 4 a 3 a 2 a 1 a 0 (c) Y=y 5 y 4 y 3 y 2 y 1 y 0 2. (a) 16MB (b) 64MB (c) 256MB 3. 8. 1 G B (a) (b) 32 b 4. N, S, E, W, NE, NW, SE, SW d i r e c t i o n / N N W, NNE, SSW, SSE 5. 43 s h a d e _ o f _ w h i t e 6. 4 b i t (a) W= 0 1 0 1 (b) X= 11 0 0 (c) Z= 1 0 0 1 7. (a) 101010 (b) 011 0 11 (c) 11 0 0 0 1 (d) 011 0 11 8. 8 b i t

20 (a) A=01010101 (b) B=11 0 0 11 0 0 (c) C=10100011 9. 16bit 10. 16 b i t (a) 0101 1000 1110 1010 (b) 0100 1101 0001 0100 (c) 1000 1000 1000 1000 11. 32 b i t (a) 0001 1111 0101 1010 1100 1100 0110 1010 (b) 0100 0110 0101 1111 0101 1010 0000 0100 12. 10 (a) 8 (b) 14 (c) 23 (d) 36 (e) 18 (f) 9 (g) 16 13. (a) 32 (b) 42 (c) 76 (d) 67 (e) 95 14. (a) 103 (b) 155 (c) 225 (d) 187 (e) 283 (f) 384 15. 0 x (a) 0 x 1 F (b) 0 x A 8 (c) 0 x 7 B (d) 0 x 6 7 16.

1 21 (a) 0 x 1 F 2 0 (b) 0 x 0 A B C (c) 0 x 70D2 (d) 0 x 8 6 B A 17. 10 1000 18. 6 19. (a) 0.10010 (b) 0.11 0 1 0 (c) 0.01011 (d) 0.10101 20. (a) 11 0 1. 0 11 0 (b) 1001.0011 (c) 0101.1001 21. 10 (a) 0.500 (b) 0.550 (c) 0.625 (d) 0.650 22. 4 - b i t 0. 6 (a) (b) (c) 8 23. - 10 x= 0. 3141 0. 3 % 24. T= 0. 3µs 25. T= 150 n s 26. f= 400 M H z T 27. 500 M H z 550 M H z 500 M H z 550 M H z 28. 29.

2 X 0 1 19 2.1 ( ) b i t 0 1 0 1 ( ) ( b i t ) 0 1 x x 0 1 x x a 3 a 2 a 1 a 0 4 - b i t d a t a d a t a= a 3 a 2 a 1 a 0 ( 2-1 ) 0 1 d a t a 2 4 = 16 d a t a a i b i t 0 1 2. 1 A, B C 0 1 f (A, B, C) 0 1 f A, B, C f f = 0 f = 1 0 1 0 9 n 2 n 2 n A, B, C 2-2 2 3 = 8 8 f

2 23 2-1 2-2 2.2 2.2.1 A N O T A N O T (A) A= 0 N O T (A) = 1 A= 1 N O T (A) = 0 N O T 0 1 1 0 N O T 2-3 A N O T (A) A A ' 2 1 = 2 (A= 0 A= 1 ) A N O T f (A) A 2-3 NOT f (A) = A ( 2-2 ) f N O T N O T 2-4 a ) N O T A A 2. 9

24 2-4 b ) A N O T a) b) 2-4 Not 2.2.2 A B 0 1 2 2 = 4 A B=00, 01, 10, 11 2 - O R O R 2 A B O R A=1 B=1, A=1 B= 1 A OR B= 1 A OR B= 0 O R 2 1 A OR B= 1 0 1 A= 0 B= 0 A OR B= 0 O R A OR B =A+B (2-3) O R 2-5 O R A OR B =A B ( 2-4 ) O R 2-6 A B A OR O R 2-5 OR 2-6 OR2 2 O R

2 25 4 - O R 4 2-7 1 f= 1 f= 0 0 f= 0 f=a+b+c+d ( 2-5 ) 3 4 2.2.3 A N D ( ) 1 1 1 A B A N D 2 2 - A= 1 B= 1 A AND B= 1 A AND B= 0 A= 0 B= 0 A AND B= 0 A AND B= 1 2-8 A N D A AND B=A B ( 2-6 ) A AND B = A B = A B A B A B A N D 2-9 2 A, B, C, D 4 ( A N D 4 ) O R 2 2-7 OR4 ( 2-7 ) g = A B C D ( 2-8 )

26 2-10 1 0 3 4 2-8 AND2 2-9 AND2 2-10 AND4 2 AND 2.3 N O T O R A N D 2.3.1 N O T (A) = A ( 2-9 ) NOT [ NOT(A) ] = A ( 2-1 0 ) N O T (A) = A N O T ( A ) =A 0 1 2.3.2 O R 1 1 2-5 A+ 1 = 1 A+ 0 =A ( 2-11 ) 1 1 1 1 0 A A+A=A ( 2-1 2 ) 0 + 0 = 0 1 + 1 = 1 ( 2-1 3 ) A + A = 1 ( 2-1 4 )

2 27 1 0 = 1 2.2.3 ( A N D ) 0 1 2-8 A 0 = 0 A 1 = A A ( 2-1 5 ) A A = A ( 2-1 6 ) A A = 0 ( 2-1 7 ) N O T O R A N D 2.4 2.4.1 A B A+B = B+A A B = B A ( 2-1 8 ) X + Y + Z = Y + Z + X ( 2-1 9 ) X Y Z = Y X Z = X Z Y ( 2-2 0 ) 2.4.2 A+B+C = (A + B)+ C = A+(B + C) A B C = (A B) C A (B C) ( 2-2 1 ) ( 2-2 2 )

28 F = A B C ( 2-23 ) X A X = (B+C) ( 2-2 4 ) F = A X ( 2-2 5 ) G = A B + C ( 2-2 6 ) Y = A B ( 2-2 7 ) G = Y + C ( 2-2 8 ) 2.4.3 A (B+C) = A B+A C A+ (B C) = (A+B) (A+C) ( 2. 2 9 ) 2-11 f 2-11 ( 2-29 ) 2-12 g 2-11 ( 2-29 ) k k K

2 29 k = A B + C ( 2-30 ) K = A+B C ( 2-31 ) 2-12 2.5 A B N O R 2 N O R N O T- O R O R A B N O R A + B 2-13 1 A + B = 0 A + B = 1 2-14 a ) N O T- O R 2-14 b ) a) NOT- O R b) NOR 2-13 NOR2 2-14 NOR A B A B 2-18 1

30 0 A B= 1 A B = 0 2-16 a ) b ) N O T a) AND-NOT b) 2-15 NAND2 2-16 NAND2 NAND A B N O R 2 N O R (A B) A B ( 2. 32 ) (A B) A B A B C N O R 3 (A+ B+C) A B C ( 2. 3 3 ) 2-17 N O R 3 2-17 a ) N O R 2-17 b ) N O T A N D N O R A N D a) NOR3 b) 2-17

2 31 2-18 a) NOR3 b) 2-18 A B N A N D 2 (A B) A B ( 2-34 ) N O R A B (A B) A B A B C N A N D 3 (A + B + C) A B C ( 2-35 ) 2-19 2-19 a ) b ) 2-19 c ) a) NAND3 b) c) 2-19 3 2.6 ( 2-3 6 ) ( 2-3 7 ) A + A B = A A + A B = A + B ( 2-3 8 ) B (x+ y + z) = x + y + z (x) = y

32 2-20 A B 2 2 = 4 A B A + A B A B 2-20 A A B A B= 0 B= 1 A+A B ( 2-3 9 ) B= 0 A B= 0 0 A+ 0 =A ( 2-4 0 ) B= 1 A B=A A+A B=A + A = A ( 2-4 1 ) A+A B = A (1+ B ) =A 1 ( 2-4 2 ) = A A ( 1 B) = 1 A 1 =A 2.7 2-21 OR AND 2-21

2 33 2-1 f = A B + A B B - f = A (B + B ) 1 f = A 1 = A 2-4 3 2-4 4 2-4 5 A 2-2 F = A B C + B C 2-4 6 A N D 3 A N D 2, O R 2 B C F = A ( B C) + (B C ) = [A +1](B C ) 2-4 7 = B C A F A N D 2-3 N O R X X = 0 a b g= 0 g g 2-4 h = (A + B + C) (A + B) 2-4 8 2-4 9 2-5 0 2-5 1 O R 2 O R 3, A N D 2 X X = X Y +Y = Y 2-5 2

34 2-5 3 2-5 4 2-5 5 2-5 h O R 3 C 2-5 6 2-5 7 2-6 V =1 2-7 2-5 8 2.8 N O T A N D N O T A N D O R NOT, AND NOT, OR NOT, AND O R

2 35 AND, OR A N D O R N O T 2-8 A N D O R 2-5 9 a a N O T NAND NOR N A N D 2.8.1 2-6 0 N A N D O R B = A N A N D N O T 2-22 2-6 1 O R 2-23 2-6 2 2-6 3 2-22 NAND2 2-23 NAND 2.8.2 2-6 4 N O R

36 2-6 5 N O T N O R 2-24 N O R 2-6 6 2-6 7 N O T N O R g 2-25 O R g 2-6 8 2-24 NOR2 2-25 NOR- 2.9 IEEE I E E E I E E E I E E E 2-26 1 2-26 IEEE

2 37 1, 1 O R & A N D N O T I E E E I E E E 2.10 1. N O T (x) = x O R 3 A N D 3 a b O R N O T 5. 6. A, B C A N D, O R N O T 8. f 9. g 10. f 1 f 2 I E E E (Institute of Electrical and Electronics Engineers),

38 11. 2 F 12. 13. 14. a, b, c d 4 N A N D 15. x, y, z w 4 N O R 16. a, b ø 3 A N D ø = 1

2 39, = 0 a b 18. 19. 20. G 21. F 22. Z 23.

40 O R X Y 24. 25. 26. 27. 28. N O R 29. N O R 30. N A N D 31. N A N D 20. I E E E 32. I E E E

3 3.1 3-1 ( N O T O R A N D ) 0 1 0 1 3-2 3-1 3-2

42 A, B C f (A, B, C) 2 3 = 8 8 f= 0 f= 1 3.2 ( S O P ) ( P O S ) S O P P O S 3.2.1 ( O R ) ( A N D ) S O P A N D A B ( ) O R (X+Y) ( ) S O P A O ( A N D / O R ) S O P S O P 3-1 A, B C S O P ( 3-1 ) 3-2 A, B C F(A, B, C) ( 3-2 ) 3-3 ( 3-3 )

3 43 a c G S O P S O P S O P z x h ( 3-4 ) ( 3-5 ) ( 3-6 ) S O P S O P 3.2.2 ( A N D ) ( O R ) S O P P O S P O S OA (OR/AND) 3-4 3-5 3-6 2 - P O S x y P O S x O R x= (x+ 0 ) P O S 3 - P O S P O S ( 3-7 ) ( 3-8 ) ( 3-9 )

44 ( 3-1 0 ) P O S 3.3 3-3 A, B, C f (A, B, C) f 0 1 2 0 1 S O P f = 1 1+Anything = 1 ( 3-11 ) A n y t h i n g f = 1 1 4 f S O P 4 f = f 1 +f 2 +f 3 +f 4 ( 3-1 2 ) 1 f = 1 3 f = 1 (A=0) (B=0) (C= 0 ) f = 1 A N D ( 3-13 ) A B 0 C 1 f 1 = 1 S O P A N D 1 0 f = 1 ( ) (A =0) (B =1) (C = 0 ) f = 1 3-3 SOP

3 45 ( 3-1 4 ) ( 3-1 5 ) 100 111 ( A N D ) f = 1 ( O R ) 3-7 S O P ( 3-1 6 ) ( 3-4 ) S O P 4 A N D ( 3-1 7 ) 3-4 SOP 3.3.1 S O P A N D ( ) ( A N D ) A, B, C A B A 010 010 2 m 2 ( 3-18 ) ( 3-1 9 )

46 S O P ( 3-2 0 ) ( 3-2 1 ) ( ) S O P 3-8 ( 3-2 2 ) ( 3-2 3 ) a, b c ( 3. 2 4 ) ( 3-25 ) P O S ( O R ) A+B+ C 3 M i m i ( 3-26 ) 3 M 2 m 2 = A B A( 010 ) ( 3-2 7 ) 3 ( 3-2 8 )

3 47 P O S ( 3-2 9 ) ( ) 3.3.2 SOP P O S S O P P O S S O P ( 3-3 0 ) 3-5 m 1, m 2, m 5 m 6 F= 1 F= 0 P O S M 1 = m 1 0 1 ( 3-3 1 ) P O S ( A N D ) 0 0 P O S ( 3-3 2 ) F= 0 ( 0, 3, 4, 7 ) ( 1, 2, 5, 6 ) 0 7 F 3-5 POS ( 3-3 3 ) F P O S S O P 3.4 X O R

48 ( X O R ) 2 ( X O R 2 ) 3-6 a X O R 2 O R 2 1 1 X O R 2 0 1 1 3-6 (XOR) 1 A B= 01 A B= 10 S O P ( 3-3 4 ) X O R A o-plus B X O R 3-6 b ) X O R O R O R X O R ( X N O R ) 3-7 a ) X N O R 2 1 S O P a) b ) X O R ( 3-3 5 ) X N O R 3-7 b ) X N O R 2 X O R I E E E 3-8 O R X N O R X O R a) b) XNOR 3-7 (XNOR) 3-8 XOR XNOR IEEE

3 49 2 X O R / X N O R 2 3 3-9 a X O R 3 X O R 3 ( 3-3 6 ) S O P A B C = 0 A B C = 1 1 1 X O R 1 X O R 3-9 b c X O R 3 X N O R 3 A B C = 1 A B C = 0 1 1 b) XOR3 a) c) XOR3 3-9 3- XNOR 3.5 S O P P O S 3.5.1 AND O R A N D 3-10 A, B C A B C A N D 3

50 ( 3-3 7 ) A N D 3-10 AND 3-11 ( P L A ) 3-11 AND

3 51 O R A N D O R 3-12 X, Y Z X Z g i A N D O R 3-12 OR 3-13 ( 3-3 8 ) 3-13 OR

52 3.5.2 SOP P O S A N D O R SOP 3-14 AND-OR A N D - O R S O P 3-14 A, B, C D m i ( 3-3 9 ) f n m i O R S O P P O S O R - A N D P O 3-15 A, B C ( ) M j ( 3-4 0 ) S O P

3 53 POS 3-15 OR-AND 3-9 P L A 3-16 A N D - O R A, B C S O P h, g, r y A N D A N A B C ( 111 ) A B B= ( 000 ) A N D A N D S O P ( 3-4 1 ) 3-16

54 O R 0 2 4 O R 3-17 A N D - O R 3-10 3-17 A N D O R 3-18 A N D O R O R - A N D A, B C G, H, J K O R (A+B+C) ( A N D P O S O U T=M a M b M c ( 3-4 2 ) ( 3-4 3 ) P O S

3 55 3-18 OR-AND 3.5.3 P L A P L P L A P L A ( P L D ) F P G A ( ) 3.6 BCD 7 ( B C D ) 0 9 10 A B C D B C

56 ABCD ABCD B C D 1010 1111 B C D B C D 3-19 7 a, b, c, d, e, f, g O N O F F O N O F F L E D ( ) O N O F F 3-20 3-19 7-3-20 7 10 B C D 7-3 - 21 B C D a g B C D O N O F F 1 O N 3-22 B C D BCD 7-3-21 BCD 7-

3 57 3-22 BCD 7 a, b, c, ( 3. 44 ) a = a(a, B, C, D) b = b(a, B, C, D) c = c(a, B, C, D) d = d(a, B, C, D) ( 3-4 4 ) e = e(a, B, C, D) f = f(a, B, C, D) g = g(a, B, C, D) 3-23 S O P a 1 S O P b ( 3-4 5 ) ( 3-4 6 ) 3-23

58 S O P A N D - O R 3-24 P L A P L A A B C D O R O R 3-24 BCD 7 PLA 3.7 S O P P O S S O P f (A, B, C ) A+ A = 1 1 X = X X ( 3-4 7 ) P O S 3 ( 3-4 8 )

3 59 ( 3-4 9 ) ( 3-5 0 ) ( 3-5 1 ) X+ X = 1 2 A B f ( K- ) 4 A B A B A A A B 2 2 0 1 3-25a 2 3-25b f (A,B) 0 1 O R A N D a) b) 3-25 2-

60 A N D 3-26 A N D A N D f = 1 A= 1 B= 1 A B 3-26 b 1 a) b) AND K- 3-26 AND2 N A N D 3-27 S O P ( 3-5 2 ) 3-27a 1 3-27b 1 ( ) N A N D 1 3-27 b K- K- a) b) NAND K- 3-27 NAND2 K- ( 3-5 3 ) A (B+ B) = 1 A B= 0 A= 0

3 61 A= 1 ( 3-5 4 ) ( 3-5 5 ) N A N D K- O R / N O R 3-28 O R 3-28b 1 B = 1 A = 0( ) A= 1 ( ) B A= 1 B= 0 B= 1 A ( 3-5 6 ) O R N O R 3-28 c 1 A= 0 B= 0 ( 3-5 7 ) a) b) OR K- c) NOR K- 3-28 OR2 NOR2 2 1 0 1 (a+ a) = 1 3.8 3 S O P f (A,B,C) f ( m 1 = A _ A C) _

62 A B C A B C 3-29 A B C 3-29 a 3-29 b _ A B C _ B C 00 0 1 11 1 0 a) b) 3-29 3 3 2 1 3 3-11 3-3 3 _ A B C A B _ C A _ B _ C A B C _ f = 1 K- 1 K- ( ) ( 3-5 8 ) ( 3-5 9 ) A B _ C _ 100 ( 3-6 0 ) A N D

3 63 3-12 a) b) K- 3-30 3 3-31 a A B C = 001,010,100,101,110 111 g = 1 3-31 b 1 3-31 b 4 1 A ( 3-6 1 ) 3-31 C = 0 a) b) ( 3-6 2 ) ( 3-6 3 ) B C 00, 01, 11, 10

64 01, 11, 10, 00 3-32 3 - a 3-32 b B C 10, 00, 01, 11 3-32 c a) b) B C 3-32 3 K- 3 A, B, C 1 1 2 4 8 X X 1 3.8.1 (c) 0 1 3-33 a (A B C) = ( 010 ) ( 110 ) X 0 1 X 3-33 b K- X 0 ( ) ( 3-6 4 ) X 1 3-33 b

3 65 ( 3-6 5 ) a) b) K- 3-33 3.8.2 3 A B C 3-34 a) b) 3-13 3-34 3 3-35 3 ( g) 1

66 ( 3-6 6 ) 3 K- 3-35 3 3.94 A, B, C, D 3-36 A B C D 3 A B C D 3-36 b a) b) 3-36 4 4 K- 1 2, 4 8 3-14 4 3-37

3 67 A B C D= 0101 1101 A= 0 A= 1 ( 3-6 7 ) K- A B C D= 1011 1 010 D ( 3-6 8 ) ( ) 3-37 4- K- A B C D =0000, 0010, 1000 1 0 1 0 3-38 (A+ A) = 1 (C+ C) = 1 ( 3-6 9 ) ( 3-70 ) B 3-38

68 3-15 4 K- 3-39 1 ( 3-7 1 ) B = 0 ( 3-7 2 ) 3-39 4 K- 3-4 K- 3-41 3-40 K-

3 69 3-41 4 K- 4 K- A B C D 16 1 ( ) X + X= 1 3.10 V L S I 3. 11 a) b) 1. S O P 2. S O P

70 3. f S O P 4. g (A, B, C) S O P 5. [ 3. 4 ] g(a, B, C) P O S 6. 7. 8. f S O P 9. f (x, y, z) S O P 10. g(a, b, c) S O P

3 71 11. S O P 12. 13. 4 14. g a b 15. f x, y z 16. f (x, y, z) (a) f x, y z (b) 17. 18. S O P 19. G a b 20. S O P 21. A N D - O R P L A

72 22. A N D - O R P L A 23. A N D - O R P L A 24. O R - A N D P L A 35. O R - A N D P L A 26. S O P x x =1 S O P K- 27. 3-28. 3 29. (a) [ 3. 4 ] (b) [ 3. 9 ] (c) [ 3. 10 ] (d) [ 3. 11 ] 30. 3 31. f

3 73 32. 4 33. K- a ) b ) 34. K- a ) ( b ) 35. K- a ) b ) c ) 36. 4 K-

74 37. S O P 38. 4 K- 39. 4 K- F 40. 4 K- g

4 3 4.1 0 1 V I ( v) ( A a m p) 0 1 V D D V D D 5v 3. 3v 4-1 V D D ( ) ( ) + - + - V D D I D D 4-1 P=I D D V D D ( 4-1 ) (W) 1 1 65 I D D V D D P

76 4-2 4-2 a 4-2 b 4-2 V D D 0v V a) b) 4-2 4.1.1 0 1 V D D [ 0,V D D ] 0 0v 1 V D D 0 1 V D D = 5 v 0 0 v 1 5 v 0 1 1 0 1 4-3 0 1 0 0 1 4-3 0 1 4.2 ( I C ) ( D I P ) 4 -

4 77 4 a 8 40 D I P 4-4 b c D I P 4-4 ( P C ) 4-5 a ( ) I C I 4-5 b 4-6 I C a) b) c) I C a) b) 4-5 DIP PC 4-7 I C ( ) I C 7 I C

78 ( ) 4-6 4-7 DIP I C 4-8 4-8 a 6 4-8 b A N D a) b) A N D 4-8 DIP IC ( P G A ) P G A 4-9 4-9 Intel Pentium P G A house number V C C

4 79 2 3 ( ) S S I ( S S I ) S S I S S I M S I ( M S I ) M S I ( 8 ) 10 5 0 M S I ( 10 2 ) L S I L S I V L S I ( Very lange-scale integration) L S I ( ) V L S I ( 10 6 ) 16 32 Intel Pentium 330 U L S I 4-9 (PGA) V L S I 10 ( 10 9 )

80 U L S I (SSI) 2 3 M S I 8 L S I 2 3 I C 4.3 4-10 a A A t = 0 A= 0 A= 1 4-1 b A= 1 A= 0 4-1 0 b A ( ) t t a) b) 4-10 4.3.1 4-11 a V D D V o u t (t) t 0 1 0v V D D

4 81 V o u t (t) 4-11 b t L H t r t H L t f 4-11 0 v V D D 10 % 9 0 % 0v V D D 10 % 0. 1V D D 9 0 % 0. 9V D D t L H t H L 0 1 t m i n = t L H + t H L ( 4-2 ) f m a x ( )Hz ( 4-3 ) 1 t m i n t m i n t L H t H L ( n s ) 1 n s = 10-9 s 4-1 a) b) t L H =7.2ns t H L = 3. 9 n s ( 4-4 ) 1M H z 10 6 ( )H z C M O S 0 v V D D

82 4.3.2 t L H t H L 4-12 V i n (t) V o u t (t) 0v V D D 0 1 4-13 4-12 4-13 t P H L 4-13 5 0 % ( V i n V D D / 2 ) 50 % ( V o u t V D D / 2 ) t P L H t P t P ( 4-5 ) t p = m a x (t P H L, t P L H ) ( 4-6 ) 4-14 A(t) A 0 1 4-14

4 83 a) b) 4-14 4.3.3 4-15 t P 4-15 a t P 0 0 4-15 b 1 t p 1 =t p 0 +1 t p L ( 4-7 ) t p L ( ) 4-16 4 t p 4 =t p 0 +4 t p L ( 4-8 ) t p L N t p N =t p 0 +N t p L ( 4-9 ) a) ( = 0 ) b) = 1 4-15 4-16 4 4-2

84 t p0 = 1 n s t p L = 0. 2 5 n s N 4-17 N 4-17 1 N A N D 2 N O R 2 N O R 3 4.3.4 t P t H L t L H ( 1 ) ( 2 ) ( ) ( ) 4-18 4-18 AND2

4 85 A(t) B(t) 2 A N D f (t) A B= 00 1 0 0 1 0 B= 1 A 0 t P f 4-18 A B= 00 t P X O R 2 4-19 A N D 2 g(t) 4-19 X O R 2 1 1 B= 0 A 0 1 X O R 2 g A 0 1 A= 0 B= 1 g 1 A 0 1 0 0 1 1 0 t H L t L H 4-19 4.3.5 4-20 t d n t d =t d 1 +t d 2 + t d 3 +t d 4 ( 4-1 0 ) A(t) B(t) 1 t d n =t p0, NOT +t pl, N O T (n= 1, 2, 3 ) ( 4-11 )

86 t p 0, N O T t p L, N O T N O T t d 4 =t p0, N O T +t L ( 4 0-1 2 ) t L B t d = 4t p0, N O T + 3t pl, N O T +t L ( 4-1 3 ) 4-20 4-21 3 t d 1 =t p0,n O T + 3t pl,n O T t d 3 =t p0,n O T +2t pl,n O T ( 4-1 4 ) t d = 4t p0,n O T + 6t pl,n O T +t L ( 4-1 5 ) t d 3t P L,N O T A(t) B(t) 1 3 4-21 N O T N A N D 2

4 87 N O R 2 t p n t p L 4-22 N ( 4-1 6 ) a) b) NOR2 c) NAND2 4-22 4-23 a t d = t d 1 +t d 2 + t d 3 = (t p0,n O T +t p L, N O R ) + (t p0,n O R +t p L, N O T ) + (t p 0,N O T +t L ) ( 4. 1 7 ) t L N O T N O R 2 N O R N O T N O T 4-23 b F O = 2 N A N D O u t 1 t d, O u t 1 =t d1 +t d3 = (t p0,n A N D +t p L,N O R +t p L,N O T ) + (t p0,n O T +t L ) ( 4-1 8 ) N A N D O u t 2 t d, O u t 2 = t d1 +t d2 = (t p0, N A N D +t p L, N O R +t p L, N O T ) + (t p0, N O R +t L ) ( 4-1 9 ) a) b) 4-23

88 4.4 4-24 I A( ) Q C( ) 1 ( 4-2 0 ) 4-24 Q= -q q= 1. 602 1 0-19 C[ ] 4-24 I 4.4.1 R R q 4-25 a V I 4-25 b V I ( 4-2 1 ) a) b) c) 4-25

4 89 Ω 1v 1 V=I R ( 4-2 2 ) ( ) R ( 4-2 3 ) I V 4-25 c ( 1 /R) V R ( ) R W ( ) ( 4-2 4 ) ( 4-22 ) R 4-26 a R 1 R 2 R=R 1 +R 2 ( 4-2 5 ) 4-26 b a) b) 4-26 4.4.2 4-27 a 4-27 V +Q -Q 4-27 b Q V Q= CV ( 4-2 6 ) C 4-27 c C C C F( )

90 ( 4-2 7 ) 1 1 v 1 C 10-12 F =C a ) b) c) 4-27 (4.20) ( 4-2 8 ) Q=C V Q I (d v/d t) V(t) ( ) d t t 0 I 4-28 a C 1 C 2 V V ( 4-2 9 ) C= C 1 +C 2 ( 4-3 0 ) a) b) 4-28 4-29 RC

4 91 4.4.3 RC 4-29 R C R C 4-29 V i n V R V C [ ( ) ( + )] [ ( ) ] V C ( K i n c h h o ff ) ( K V L ) = V R V i n V i n =V R +V C ( 4-3 1 ) V i n t 1 0v V H t 2 0v 4-30 0 V C 0v V i n V H ( ) ( 4-3 2 ) ( 4-3 3 ) V C 4-30 = V H V C 4-30 RC

92 t 2 V H 0v V C 0v 0 v V H R K V L V R =I R ( 4-3 4 ) V R =V i n -V C ( 4-3 5 ) ( 4-3 6 ) R C ( 4. 3 7 ) e = 2. 71828... e τ( t a u ) ( 4. 3 8 ) V c(t) τ 0 t 1 t ( 4-3 9 ) ( 2 / 3 )V H τ τ t t 2 ( 4-4 0 ) τ V H ( 4-4 1 ) 4-3 (1/3) V H 4-31 a R C R = 1000ΩC = 10-12 F 80-90 K

4 93 ( 4-4 2 ) 1 n s = 10-9 s 1 ( n s ) = 5 v V C =0 v V C =(0.632)(5)=3.16 v =τ= 1 n s V C = 5 v t=τ= 1 n s V C =(0.368)(5)=1.84 v R= 500Ω C = 5 1 0-13 F 4-31 b V H ( 4-4 3 ) 1 / 4 4-31 c R = 1000 C 2. 5 1 0-13 F a) b) (a) (a) (b) c ) 4-31 RC 4.4.4 RC V(t) 0 1

94 4.5 4-32 V T (t) V R (t) 4-32 v w 4-33 ( 4-4 4 ) c 3 1 010 c m / s n 1 n 4-34 4-33 4-34 V T (t) VR(t) 4-35 t d t s n

4 95 x v w ( 4-4 5 ) t d ( ) 4-35 4.5.1 4-3 6 C C V 1 V 2 (V 1 V 2 ) C C 4-37 d C C C C 4-38 a V i n = 0v C C 4-38 b

96 V1 C C V2 4-36 4-37 d 4-38 4.5.2 a) b) ( E M I ) E M I E M I 4-3 9 ( ) E M I E M EMI E M I E M I 4-39 EMI

4 97 4.6 N O T N A N D 4.6.1 CMOS C M O S (Complementary Metal Oxide S e m i c o n d u c t o r ) C M O S C M O S M O S F E T ( M O S M O S F i e l d - E ffect Tr a n s i s t o r ) M O S F E T 0. 3µm 1µm 1 2 c m C M O S 6 C M O C M O S V D D = 5 C M O S ( 5 v 1 5 v ) 3. 3 v C M O S 0 v V D D 0 1 ( N O T ) t P 0. 1 n s 4.6.2 TTL T T L ( Tr a n s i s t o r- Transistor Logic) T T L 1 (µm 1 micrometer 1 micron 1 (µm = 1 0-6 m

98 T T L C M O S M O S F E T TTL IC T T L T T L 5 v T T L V D D = 5 v V 0. 3 v V 3.6 v T T L T T L T T L 4.6.3 E C L E C L E C C M O S E C L E C L 900 M H z E C L V E E V E E = -5.2v E C L E C L 0 1 0.2 v E C L = 50 ( 0. 05 ) E C L E C L t P

4 99 4.7 4.8 1. V D D = 5 v 125 m A 1 m A ( 1 ) 10-3 A P 2. 5 10 3. (a) I=210 ma V= 5 v P=? (b) V= 3. 1 v I= 1. 4 A P=? (c) P= 1 5 W V=2.6 v I=? 4. t H L =0.5ns t L H = 1. 0 n s Vi n V o u t = 0 v V o u t = 5 v a) V o u t (t) b) V o u t c) 5. N O T t p0, N O T =0.5ns, t pl, N O T = 0. 5 n s 6. N O T X(t) t p0, N O T = 0. 5 n s t p L, N O T = 0. 4 n s

100 7. t p0, N O T =0.5ns, t pl, N O T = 0. 5 n s t p0, N O R =0.75ns, t p L, N O R = 0. 9 n s 8. t p0 = 1 n s t p L = 0. 25 n s t d 9. 500Ω 25 m A 10. 1500Ω 0. 86 V 11. t p0,n O T =0.5ns, t p L,N O T = 0. 4 n s t p0,n O R =0.75ns, t p L,N O R = 0. 9 n s t p0,n A N D =0.85ns, t p L,N A N D = 0. 9 5 n s a(t) f (t) 12. 1200Ω 0. 35 V 13. (a) x z (b) y w (c) x w 14. 1200Ω τ= 4 n s I I(t) (a) t= 2 n s (b) t= 4 n s (c) t= 6 n s 15. C 1 0µF ( 1µF 1 0-6 F ) 2 16. 100 f F 1 ( f F ) 10-15 Q 2. 8 n C ( 1 n C = 1 0-9 C ) 17. x y

4 101 18. e x f (x) = e x 19. R C τ 20. R C C = 20 p F τ= 14 n s. R 21. R C 240Ω τ= 3 n s 22. C = 140 p F V c (t) = - 4e -t/τ v τ= 2 n s t= 3 n s 23. R C R = 1200Ω C= 4. 7 p F 1 1 0-1 2 F (a) (b) 0 v 3 v t =Ω V C (c) 3 v 0 V C 24. τ= 2. 55 n s C 25. RC R = 1500Ω t= 0 C V C = 5 v 0

102 τ t= 2 n s V C = 2 v C 26. ( V C R ) / 27. 4 23 n s 28. 1. 25 n s ( 4. 44 ) n = 1. 7 29. 162 c m ( 4. 44 ) n = 1. 65 30. ( ) C D 31. 30 c m 32. d = 4 c m ( a ). 3 m m ( b ). 1 m m 33. ( E M I ) 4 A R C ( 4-4 6 ) ( 4-4 7 ) V C (t) ( 4-4 8 ) ( 4-4 9 ) ( 4-5 0 )

4 103 ( 4-5 1 ) V i n (t) V C ( 4-5 2 ) ( 4-5 3 ) A V i n = 0 v ( 4-5 4 ) A V C V C ( 0 ) =V D D t= 0 ( 4-5 5 ) e 0 = 1 A V D D ( 4-5 6 ) ( 4-5 7 ) V i n V D D ( 4-5 8 ) ( 4-59 ) ( 4-60 )

104 B V C ( 0 ) = 0 v ( 4-6 1 ) B -V D D

5 VHDL ( H D L ) ( C A D H D L H D L V H D L V H D L 5.1 ( ) H D L H D L V H D L V V H S I C ( D o D ) Very High-speed Integrated Circuits 1 H D L V H D L V H D L C A D V H D L 5.1.1 5-1 A, B, C f (A, B, C) 5-1 V H D L V H S I C v i s - h i c.

106 C + + H D L H D L H D L H D L H D L H D L V H D L P C 5.1.2 H D L 5-2 V H D L V H D L P C 5.2 VHDL 5-1 V H D L ( p o r t ) ( e n t i t y ) ( a r c h i t e c t u r e ) V H D L E n t i t y... A r c h i t e c t u r e... VHDL 5-2 VHDL

5 107 V H D L ( k e y w o r d ) ( s y n t a x ) ( ) e n t i t y e n t i t y V H D L V H D L V H D L 5-3 5-3 VHDL 5-4 V H D L V H D L V H D L V H D L V H D L

108 + / = < > & ; # ( ). : * * = > t h e n = > g e t s : = / = > = < = < = < > - - 5-4 VHDL V H D L 5-5 a b c S i m p l e _ g a t e f

5 109 S i m p l e _ g a t e p o r t a b c i n b i t 5-5 VHDL b i t 0 1 b i t 0 1 f out b i t p o r t i d e n t i f i e r :i n ( i d e n t i f i e r ) ( i n o u t) e n d n a m e (a rc h i t e c t u re) S i m p l e _ g a t e f (a, b, c) V H D L V H D L f (a,b,c) t y p e _ o f _ d e s c r i p t i o n ( ) D e c l a r a t i o n _ 1 b e g i n s t a t e m e n t _ 1 E n d e n t i t y a rc h i t e c t u re 5-6 G a t e _ 1 V H D L e n t i t y

110 -- V H D L V H D L V H D L 5-6 a r c h i t e c t u r e G a t e _ 1 O R f = a + b ( 5-1 ) V H D L 5-7 a r c h i t e c t u r e L o g i c f <= a or b Left <= Right Left R i g h t 5-7 VHDL 5-8 V H D L 5-8 a N A N D ( 5-2 )

5 111 V H D L G a t e _ 2 V H D L 5-8 b G a t e _ 3 X N O R ( 5-3 ) a) Gate_2 b) Gate_3 5-8 VHDL e n t i t y a rc h i t e c t u re V H D L

112 V H D L V H D L V H D L 5.2.1 n o t, a n d, o r, x o r, n a n d, n o r x n o r a rc h i t e c t u re A N D 3 f <= x and y and z; x, y, z f V H D L V H D L n o t n o o r a n d V H D L A N D O R (b.c) a+b. c V H D L ( 5-4 ) A N D V H D L 5-1 V H D L A N D O R ( 5-5 ) ( 5. 6 ) 5-2 C n o t c n o t a n d V H D L

5 113 ( 5-7 ) 5-8 b G a t e _ 3 S O P ( 5-8 ) 5-3 X N O R 5-9 V H D L ( 5-9 ) 5-9 5-4 V H D L 5-10

114 5-10 5.2.2 G a t e _ 1 L o g i c V H D L V H D ( a z A Z ) 0 9 _ O r _ g a t e _ 3 O R _ G AT E _ 3 O R _ G a t e _ 3 _ ( - ) 5-5

5 115 5.2.3 ( V H D L H ) t p t p 5-11 N O T t p a f t e r V H D L t p 2 n s Result <=not x after 2ns; χ 2 ( 2 n s ) R e s u l t 5-11 5-12 A N D 3 t p 5 n s

116 5-12 AND3 N O T O u t _ a n d 5 n s ( I C C M O S T T L ) 5-6 V H D L 1 n s 1 n s X O R 2. 7 5 n s 2. 75 n s 6 V H D L V H D L V H D L V H D L V H D L output <= t r a n s p o rt(x)a f t e r 1 0 p s ; X 10 1 p s = - 12 s 5.3 V H D L

5 117 5-13 A O I A O I A N D ( G 1 G 2 ) O R ( G 3 ) A N D O R ( 5. 1 0 ) ( 5. 11 ) ( 5. 1 2 ) X1,X2, f V H D L (c o m p o n e n t) e n t i t y a rc h i t e c t u re c o m p o n e n t 5-13 A O I _ N e t w o r k V H D L AOI_Network component, signal p o rt map 5-13

118 c o m p o n e n t e n t i t y a rc h i t e c t u re V H D L c o m p o n e n t (design library) e n t i t y a rc h i t e c t u re c o m p o n e n t 5-1 4

5 119 5-14 s i g n a l s i g n a l X1,X2 : b i t ; X1 X2 A N D 2 G 1 G 2 S i g n a l p o rt p o rt p o rt map --The port maps specify the internal wiring b e g i n G1:AND2 p o rt map (a, b, X1) ; G2:AND2 p o rt map (c, d, X2) ; G3:OR2 p o rt map (X1, X2, f ) ; G1:AND2 port map (a, b, X1) ; G 1 A N D 2 a,b X1 A N D 2 (u,v,q) 1 2 (a,b,x1 ) G2:AND2 p o rt map (c,d,x2) ; A N D 2 A O I c d A N D 2 X2 G3:OR2 p o rt map (X1, X2, f ) ; G 3 X1 X2 O R 2 A O I _ N e t w o r k 5-15 N e t w o r k _ e x V H D L 5 a, b, c, d e f1 g2

120 5-15

5 121 Gen_1 G e n _ 2 e n t i t y V H D L V H D L V H D L ( ) 5.4 P a s c a l C V H D L 5-16 E q u a l s a b s a m e 1 s a m e = 0 V H D L E q u a l s 5-16 C o n d i t i o n i d e n t i f i e r 1 i d e n t i f i e r = 0 s a m e = 1 s a m e 0 V H D L 5-17

122 g(x, y, z) w h e n - e l s e V H D L end Dataflow; 5-17 g= 1 5-7 A d d 3 (a, b c i) 2 (s c o)

5 123 end Dataflow; 7 c o n d i t i o n b i t b i t 0 1 c o n d i t i o n B o o l e a n Tr u e F a l s e V H D L t y p e b i t b i t y pe bit i s ( 0 1 ) b i t 0 1 B o o l e a n t y p e boolean i s ( FA L S E, T R U E ) t y p e =V H D L 5-18 ( n o t o r) n o t a n d, o r, n a n d, n o r, x o r x n o r V H D L 5-18 VHDL

124 5.5 ( 0 1 ) n - b i t 2 n V H D L V H D L 5-19 4 b i t I n_a=a 3 a 2 a 1 a 0 x b i t _ v e c t o r I n _a p o rt I n _a 4 d o w n t o I n _a( 3 ) =a 3 I n _a( 2 ) =a 2 I n _a( 1 ) =a 1 I n _a( 0 ) =a 0 5-19 bit_vector b i t _ v e c t o r 5-20 4 b i t I n _a I n _b 4 b i t O u t = I n _a O R I n _b O R O u t 4 bit O u t ( 3 ) = I n _a(3) O R I n _b( 3 ) O u t ( 2 ) = I n _a(2) O R I n _b( 2 ) O u t ( 1 ) = I n _a(1) O R I n _b( 1 ) O u t ( 0 ) = I n _a(0) O R I n _b( 0 ) 5-20 V H D L Wo r d _ O R V H D L

5 125 bit bit_vector (3 downto 0); 5-2 1 5-21 Word_OR b i t _ v e c t o r 5-22 B i t _ o p s f 1 f 2 V H D L in bit_vector (3 downto 0); f 1 f 2 b i t _ v e c t o r V H D L 5-22 bit_vector

126 5.6 V H D L V H D L V H D L i b r a ry L i b r a r y _ n a m e ; I E E E (Institute of Electrical & Electronics Engineers(I E E E) ) I E E E L i b r a ry i e e e ; V H D L i e e e b i t b o o l e a n n s p s i e e e s t a n d a r d IEEE 1164 V H D L V H D L i e e e 0 1 a n d, o r, n o r b i t, v e c t o r s b i t _ v e c t o r s i e e e IEEE 1164 V H D L l i b r a ry i e e e ; u s e i e e e. s t d _ l o g i c _ 11 6 4. a l l ; IEEE 1164 b i t s t d _ u l o g i c b i t s t d _ u l o g i c b i t ( 0 1 ), s t d _ u l o g i c 0 = 0 1 = 1 X = Z = W = L = 0 H = 1 U = =

5 127 6 1 5 V 1 3. 8 V 1 IEEE 1164 b i t _ v e c t o r s t d _ u l o g i c _ v e c t o r V H D L V H D L s t d _ u l o g i c V H D L 5.7 VHDL V H D L V H D L V H D L V H D L V H D L 5.8 1. P 5-1 G a t e _ X VHDL entity a r c h i t e c t u r e

128 P5-1 2. P 5-2 E x c l _ VHDL entity a r c h i t e c t u r e P5-2 3. V H D L 4. V H D L 5. V H D L 6. V H D L 7. V H D L 8. P 5-3 V H D L P5-3 9. P 5-4 V H D L P5-4 10. P 5-5 V H D L P5-5

5 129 11. P 5-6 X s G V H D L P5-6 12. V H D L P 5-7 V H D L P5-7 13. P 5-8 V H D L P5-8 14. P 5-9 V H D L P5-9

130 15. P 5-10 V H D L P5-10 16. P 5-11 Z e r o V H D L P5-11 17. A=a 3 a 2 a 1 a 0 B=b 3 b 2 b 1 b 0 c o m p a re c o m p a re =1 i f a 3 = b 3 a 2 = b 2 a 1 = b 1 a 0 = b 0 e l s e c o m p a r e = 0. V H D L

6 CMOS C M O S C M O S 6.1 CMOS C M O S (Complementary Metal-Oxide- S e m i c o n d u c t o r ) ( I C I C I C C M O S C M O S C M O S CMOS IC C M O S C M O S C M O S C M O S C M O C M O S I C C M O S C M O S 0 1 C M O S C M O S s e e - m o s s

132 C M O S 6.2 6-1 a ( N O T ) N O T A A 6-1 b V D D A V o u t A 6-2 a 6-2 b 4 0 1 0 <==> 0v 0 <==> V D D V i n = 0 v V o u t =V D D V i n =V D D V o u t = 0 v 6-2 b 1 0 a) b) 6-1 a) NOT b) 6-2 0 V D D 1 N O T V D D 6-3 ( ) V i n C M O S 7

6 C M O S 133 1 0 ( ) a) b 0 c) 1 6-3 6.3 MOSFET M O S F E T ( M e t a l - O x i d e - S e m i c o n d u c t o r F i e l d - E ffect Tr a n s i s t o r ) C M O S M O S F E T M O S F E T M O S F E T O P E N C L O S E D 6-4 M O S F E T n M O S F E T n F E T (g a t e) ( ) (d r a i n) (s o u rc e) I 6-4 n- MOSFET n F E T 6-5 (nfet) F E T V G S V G S 6-5 a V G S = 0 v I a) Cutoff ( O F F ) b) Active(ON) 6-5 nfet V G S

134 ( I = 0 ) (c u t o ff) I= 0 O F F V G S =V D D, I 6-5 b (A C T I V E) O N O N O F F ( ) M O S F E T M O S F E T ON/OFF 6-6 OPEN C L O S E D OPEN 6-6 a CLOSED A C T I V E O N 6-6 b a) OPEN b) CLOSED 6-6 nfet V G S = 0 v M O S F E T G= 0 O P E N V G S =V D D G= 1 M O S F E T A C T I V E C L O S E D 6-7 G a) b) 6-7 nfet n F E T C M O S M O S F E T p M O S F E p F E T n F E T ( + ) n F E T n F E T 6-8 p F E T n F E T p F E T n F E n F E T

6 C M O S 135 p F E T n F E T n F E T ( ) p F E T V S G ( ) V S G = V D D A C T I V E O N 6-8 p MOSFET(pFET) V S G = 0 v p F E T C U TO F F ( O F F ) p F E T 6-9 n F E T 6-5 n F E T p F E T a) Active(ON) b ) C u t o ff ( O F F ) 6-9 pfet p F E T G G ( 0 1 ) p F E T O P E N C L O S E D p F E T 6-10 n F E T p F E T n F E T p F E T G= 0 C L O S E D G= 1 O P E N 6-11 a) b) 6-10 pfet a) CLOSED b) OPEN 6-11 pfet

136 6.4 CMOS N O T 6-1 2 U P D O W N ( N O T ) 6-12 0 1 V D D 1 [ 6-12 a ] 1 0 6-12 b ( U p D O W N ) M O S F E T a) 0 b) 1 6-12 6.4.1 C M O S n F E T p F E T C M O S C n F E T p F E T 6-13 a a) nfet/pfet b) c) 6-13 FET

6 C M O S 137 O N O F F G= 0 p F E T O N n F E T O F F 6-13 b G= 1 p F E T O F F n F E T O N 6-13 c G M O S F E T 6-14 G= 0 p F E T C L O S E D n F E T O P E N G= 1 p F E T O P E N n F E T C L O S E D G a) nfet/pfet b) G = 0 c) G = 1 6-14 MOSFET C M O S M O S F E T ( ) 2 4 ( ) C M O S n F E T p F E T 6.4.2 CMOS C M O S N O T M O S F E 6-15 A A 6-16 a A= 1 pfet Mp O F F nfet Mn O N M n 0 v 0 A= 1 A= 0 6-15 CMOS

138 6-16 CMOS A= 0 ( 6-16 b ) M p O N M n O F F V D D 1 A= 0 A= 1 N O T F E T ( ) N O T N O T M O S F E C M O S C M O N O T ( ) 6.5 M O S F E T N O T N O R N A N D A, B, p F E T C 6-17 n F E T p F E T n F E T p F E T ( V D D ) p M O S F E T 6-17 3 CMOS ( ) n M O S F E T F E T O P E N ( ) C L O S E D ( ) V D D 1 0 pfet C L O S E D ( ) n F E T O P E N a) 1 b) 0 pfet O P E N n F E T C L O S E D

6 C M O S 139 f (A, B, C) 1 V D D p F E T C L O S E D 0 0 v n F E T C L O S E D F E T C M O S n F E T p F E T M O S F E G ( ) n F E T p F E T F E T n F E T 6-18 a A= 1 B = 1 x y A = 0 B = 0 x y 6-18 MOSFET F E T ( n p ) 6-18 a n F E T 1 (x) (y) A= 1 B= 1, x y A= 0 B=0 ( ) F E T O F F x y p F E T 6-18 b 0 p F E T M pa M pb 0 A= 0 B= 0, x y 0 x y 6-19 M O S F E T 6-19 a n F E T A= 1 x y MOSFET MnB A B 1 a) nfet b) pfet A= 1 B= 1, x y

140 p F E T 6-19 b A= 0 B=0, x y p F E T 0 6-19 MOSFET F E T A N D O R n F E T p F E T x y 6-18 a n F E T A= 1 B= 0 x y 6-17 n F E T p F E T M O S F E T C M O S n F E T A N D n F E T O R p F E T 0 p F E T p F E T N O R p F E T N A N D C M O S N O R N A N D, N O T 6.5.1 NOR A= 1 B=1 ( ), x y A= 0 B=0 ( ), x y CMOS NOR2 A B 6-20 a n F E T M na M nb pfet MpA M pb 6-20 b A= 1, B= 1 n F E T M na M nb A+B p F E T 0 A= 0 B= 0 V D D ( 1 ) M pa M pb n F E T 6-21 a - d M O S F E T ( 6-1 )

6 C M O S 141 O N ( ) O F F ( ) n F E T 0 O F F 1 O N p F E T 6-20 CMOS NOR2 N O R 2 N O R A, B C M O S F E T N O R 3 n F E T p F E 6-22 ( ) N O R CMOS NOR a) CMOS b) 6-21 CMOS NOR2

142 6-22 CMOS NOR3 6.5.2 NAND CMOS NAND n F E T p F E T 6-23 a 6-23 b a) CMOS b) 6-23 CMOS NAND2 A B 0 A= 1 B= 1 M na M nb 0 n F E T p F E T V D D 1 N A N D 6-24 a d ( O N O F F ) V D D N A N D 2 3 n F E T 3 p F E T N A N D 3 N A N D 4 N A N D

6 C M O S 143 6-24 CMOS NAND2 6.5.3 CMOS- C M O S A B 0 1 C M O S ( b i t ) C M O S 6-25 N O R 2 f = 0 ( A B ) = ( 10 ),( 01 ) ( 11 ) 6-17 C M O S 0 nfet pfet n F E T n F E T f = 0 3 2 6-25 c 0 n F E T O R n F E T C M O S n F E T 0 ( ) ( 6-2 ) ( 6-3 )

144 n F E T n F E p F E T p F E T a) b) c) K 6-25 NOR2 a) b) c) K- 6-26 NAND2 N A N D 2 6-26 0 K A N D n F E T p F E T K n F E T p F E T n F E T 0 p F E T 1 C M O S 6.6 CMOS N O T N A N D N O R 3 C M O S ( 6-4 )

6 C M O S 145 C M O S C M O S C M O S N O T A N D O R M O S F E T n F E T p F E 6-17 n F E T p F E T n F E T p F E n F E T p F E T ( ) N O R N A N D C M O S A O I A N D - O R - I N V E RT O A I O R - A N D - I N V E RT A O I S O P O A I P O S 2 C M O S 6.6.1 3 A B C F E T A N D - O R - I n v e r t 6-27 A B A B n F E T A B p F E T N A N D N A N D 2 C 6-27 AND-OR-Invert

146 ( 6-5 ) A O I n F E T g= 0 g= 0 n F E T C= 1 n F E T ( C ) 6-28 a b ( 6-6 ) 6-27 AND-OR-Invert 6-28 0 S O P S O P g= 0

6 C M O S 147 ( 6-1 7 ) A B n F E T p F E T N A N D 2 C n F E T A B n F E T g O R C p F E T A B p F E T n F E T p F E T V D D O R - A N D - I n v e r t 6-29 O R - A N D - I n v e r t N O R 2 A B n F E T p F E T C M na M nb C p F E T M pa M pb p F E T ( 6-8 ) 6-29 CMOS OR-AND-Invert n F E T f = 0 M nc C= 1 A= 1 B= 1 n F E T f= 1 A. C =1 B. C =1 ( 6-9 )

148 6-3 0 a b 6-30 0 OAI 3 f= 0 6-31 ( 6-1 0 ) 6-31 OAI 6.6.2 4 6-32 4 A O I ( 6-11 ) A B = 1 C D = 1 h= 0 A B = 1 n F E T C D = 1

6 C M O S 149 ( 6-1 2 ) h p F E T A B n F E T A B p F E T C D n F E T C D p F E T nfet (A,B) (C,D) p F E T (A,B) (C,D) 6-32 CMOS 4- AOI X O R X N O R C = A D = A ( 6-1 3 ) B = C D = A ( 6-1 4 ) X N O R 6-33 4 O A I 6-34 ( 6-1 5 ) p= 0 A= 1 B= 1 A B n F E T C= 1 D= 1 p

150 a) XOR b) XNOR 6-33 CMOS XOR XNOR ( 6-1 6 ) N O T F E T 6-34 4 OAI 6.6.3 C M O S N O T N A N D N O R A O I O A I 6-35 O A I A O O A I ( ) ( 6-1 7 )

6 C M O S 151 ( 6-1 8 ) g C M O S 6-35 b C M O S O A I A O I a ) O A I A O I b ) C M O S 6-35 CMOS 6.7 MOSFET C M O S C M O S C M O S M O S F E T M O S F E T 7 F E T 6.7.1 FET 6-36 a n F E T A B C 6-36 b C= 0 n F E T n F E T A B C= 1 n F E T 6-36 c

152 C=1 B A A B ( ) 6-36 nfet ( C= 1 ) ( 6-19 ) n F E T A N D C= 1 C= 0 C M O S p F E T p F E T 6-37 nfet 6-38 a p F E T n F E T A B C C=0 B A C= 1 p F E T C= 1 A B ( C= 0 ) ( 6. 20 ) A N D C C= 0 6-38 b a) b) c) a) b) 6-38 pfet 6.7.2 n F E T p F E T A N D C M O S

6 C M O S 153 0 1 6-39 a n F E T V D D n F E T 6-39 b V A 0 v V D D V B 0 v V 1 V 1 <V D D V 1 =V D D -V T n ( 6-2 1 ) V T n n F E T 0. 5 v nfet 0 1 1 6-39 nfet n F E T 1 p F E T n F E T p F E T p F E T 0 v 6-40 a 6-40 b p F E T V D D V B =V 0 > 0 v V 0 = V T p ( 6-2 2 ) V T p p F E T V T p V T p 0. 5 v p F E T a) b) pfet 1 0 0 1 0 a) b) 6-40 pfet 6.7.3 C M O S n F E T p F E T

154 nfet ( 0 v ), pfet (V D D ) 6-41 (V D D ) 1 ( 0 v ) 0 p F E T n F E T p F E T A 1 n F E T A ( 0 1 ) O R ( 6-2 3 ) p F E T O N n F E T O N ( 6-2 4 ) 0 1 O F F F E T p F E T V D D n F E T 0v 6-41 C M O S 6-42 N A N D 2 n F E T 0 n F E A B 0 0 p F E T A B N A N D ( 6-25 ) 6-43 N O R ( 6-2 6 ) M O S F E T C M O S

6 C M O S 155 6-42 NAND2 6-43 NOR2 6.7.4 n F E T 0 1 I I=0 0, I 0 1 1 6-44 I=I 1 +I 2 ( 6-2 7 ) I 1 I 2 ( L E D )

156 6-45 L E D 6-45 a L E D I= 0 L E D L L= 0 6-45 b 1 2 L E D 6-44 L= 1 a) LED OFF b) LED ON 6-45 (LED) n F E T A N D 6-46 a F E T L=A B ( 6-2 8 ) A= 1 B= 1 L E D O R n F E T 6-46 b L = A + B ( 6-2 9 ) A= 1 B= 1 L E D a) AND b) OR 6-46 ( F E T ) L E D L 6.7.5 L 6-47 L E D

6 C M O S 157 6-47 ( c o r e ) ( c l a d d i n g ) 6-4 8 6-4 9 125µm = 0. 125 m m 250µm = 0. 25 m m 9µm ( E M I ) L 9µm 6-48 6-49 6.8 1. n M O S F E T ( O N O F F )

158 a) b) c) p M O S F E T ( O N O F F ) a) b) c) n M O S F E T O N a) b) p M O S F E T O N a) b) M O S F T E T 0 1 x y a) b) c)

6 C M O S 159 x y a) b) x y a) b) 4 N O R ( N O R 4 ) C M O S 3 N A N D ( N A N D 3 ) C M O S A N D X Y C M O S 11. g = A B C M O S 12. F(A, B) = A + B C M O S 13. O R X+Y C M O S 14. F(A, B) = A B C M O S A B ( ) C M O S F 15. C M O S x y 16. ( 6-3 0 ) C M O S 17. C M O S n F E T ( a ) f (x, y, z) ( b )

160 18. ( 6-3 1 ) C M O S M O S F E T 19. A O I C M O S C M O S ( 2 ) 20. C M O S n F E T g(x, y, z) 21. C M O S n F E T F(x, y, z, u, v)

6 C M O S 161 22. [ 6. 17 ] C M O S p F E T 23. [ 6. 20 ] C M O S p F E T 24. [ 6. 19 ] C M O S p F E T 25. C M O S p F E T a) 26. [ 6. 25 ] n F E T b) 27. C M O S p F E T a) b) 28. [ 6. 27 ] n F E T 29. C M O S F=a b c (d+e) 30. n F E T

162 31. p F E T 32. 6-46 n F E T- L E D 33. 6-46 n F E T- L E D 34. n F E T- L E D F E T n F E T p F E 35.

7 VLSI V L S I Very Large Scale Integration V L S I 7.1 VLSI V L S I V L S I C M O S C M O S m i c r o e l e c t r o n i c s V L S I systems on silicon V L S I t o o l s tool set V H D L U N I X 512 M B P C V L S I M O S F E T 7.1.1 computer chip integrated circuits 7-1 5

164 7-1 d i e 7-1 7.1.2 c o n d u c t o r i n s u l a t o r s e m i c o n d u c t o r 7-2 -q h o l e +q n p q = 1. 6 0 2 1 0 1 9

7 VLSI 165 n = p 7-1 -q +q 7-2 27 C 7-2 b n=p A s P n > p 7-2 n n-type semiconductor 7-3 a B p a) b ) p > n 7-3 7-3 b n p 7-3 n p a) n b) p N s i = 5 1 0 2 2 c m 3 7-4 c m 3 n p T = 27 C = 300 K intrinsic carrier

166 d e n s i t y n i n i = 1. 5 1 0 1 0 c m - 3 7-5 m a s s - a c t i o n n= p =n i 7-6 np = n i 2 7-7 n N d N d 10 15 1 0 20 c m - 3 N d n n n n n N d 7-8 n n n p n m a s s - a c t i o n n n 7-9 p N a p p p m a s s - a c t i o n p n p 7-1 7-1 0 7-11 n N d = 10 19 c m - 3 n n N d = 10 1 9 c m - 3 7-1 2 7-1 3 n n > >p n n 7.1.3 p n n p p n pn junction 7-4 a d i o d e

7 VLSI 167 a n o d e p c a t h o d e n 7-4 b r e c t i f i c a t i o n p n a) pn b) 7-4 pn p n 7-5 I V p n V p o s i t i v e forward bias reverse bias V< 0 p n 7-5 pn 7.1.4 p n C M O S M O S F E T M O S F E T p n 7-6 a 7-6 a =A p a) b) 7-6 MOS

168 7-1 4 A M O S F E T p S i O 2 A 7-6 b M O S F E T p M O S F E T M O S F E T 7.2 7-7 a 8 10 7-7 b a) b) 7-7 opticl lithography 0. 5 m i c r o m e t e r µm m i c r o m e t e r m i c r o n V L S I S i O 2 7-8 a 7-8 b 7-8 c e x p o s u r e 1 10 6

7 VLSI 169 a) b) c) 7-8 7-9 7-10 a 7-9

170 7-10 b 7-10 c a) b) c) 7-10 V L S I 0 design rule

7 VLSI 171 7-11 w d 7-11 w d V L S I 7.3 MOSFET 6 C M O S M O S F E T n p n a) b) 7-12 MOSFET M O S F E T 7-12 7-12 a n F E T 7-12 b p s u b s t r a t e p o l y s i l i c o n p o l y n S i O 2 S i O 2 S i O 2 S i + O 2 S i O 2

172 o x i d e p M O S c a p a c i t o r M O S - - M e t a l - O x i d e - S e m i c o n d u c t o r M 7-13 a M O S p p 7-13 b V G M O S F E T a) MOS b) 7-13 MOS 7.3.1 MOSFET M O S M O S F E T 7-14 p n M O S F E T V G S 7-14 a 0. 5 V G S p n cut off V G S c h a n n e l n active operation 6 M O S F E T 7-15 b n F E T l a y o u t n X contact cut

7 VLSI 173 [M] p a) ( ) b) ( ) 7-14 MOSFET L n L M O S F E T channel length L C M O S L 0. 35 W channel width aspect ratio W/L W/L 7-15 n F E T 7-1 5 k n V T n n F E T threshold voltage V T n V G S n F E T n (W/L) n p F E T 7-15 n MOSFET n M O S F E T W n (W/L) n (W/L) n I n1 a) b) 7-1 6 7-1 7

174 (W/L) n = 1 W n 7-16 2 F E T 7-16 a W/L = 2 7-16 b W/L = 6 3 7-2 7-16 MOSFET C M O S k n = 100 1 0-6 A / V 2 V D D = 5 v V T n = 0. 7 v = 0.9245mA 7-1 8 1 m A I n1 (W/L) n = 1 M O S F E T (W/L) n = 4 n F E T I n = 4(0.9245) = 3.698mA (W/L) n = 1 2 I n = 12(0.9245) = 11. 0 9 4 m A 7-1 9 7-2 0 R C M O S F E T I V V L S I M O S F E T M O S F E T M O S C G n 7-17 a) (W/L) b) (W/L)

7 VLSI 175 C G n = C o x W n L 7-2 1 7-17 MOSFET C o x 7-3 C M O S C o x 1. 8 1 0-7 F / c m 2 n F E T W n = 14µm L= 1µm 1 f F 10-15 F a) b) 1µm = 10-6 m = 10-4 c m 7-2 2 C G n = (1.8 1 0-7 ) ( 1 4 1 0-4 ) ( 1 1 0-4 ) = 25.2fF 7-2 3 7-18 a p a r a s i t i c n F E T R n (W/L) n R n 7-2 4 r n (W/L) n = 1 n F E T 7-24 (W/L) n 7-18 c n F E T C S C D M O S p n a) b) c) 7-18 MOSFET C n = c n 7-2 5

176 c n R C n F E T R C 7-2 6 M O S F E T 7-4 (W/L) n = 1 M O S F E T r n = 2325Ω c n = 2. 0 f F (W/L) n = 14 M O S F E T 7-2 7 7.3.2 pfet p F E T n F E T p F E T p n n F E T p F E n n - w e l l p F E T p n 7-19 p F E T n F E T W L (W/L) p p F E T p F E T 7-2 8 n a) b) 7-19 p MOSFET pfet k p p V T p F E T p F E T (W/L) p p F E T

7 VLSI 177 7-2 9 I p1 (W/L) p = 1 p F E T n F E T p F E T pfet pfet n F E T 7-3 0 p F E T n F E T k p k n k n 2. 5 k p p F E T n F E C M O S 7-5 C M O S k p = 40 1 0-6 A / V 2 V D D = 5 v V T p = 0. 8 v (W/L) p = 1 p F E T = 0.3528mA 12 p F E T 7-2 n F E T p F E T 2. 5 7-3 1 7-3 2 n F E T p F E T R C 7-20 C G p W p L 7-33 n F E T 7-3 3 7-6 7-20 pfet n F E T p F E T C o x p F E T C o x 1. 8 1 0-7 F / c m 2 W p = 14µm L= 1µm C G p a) b) c) 7-3 4

178 n F E T p F E T 7-3 5 r p (W / L) p = 1 p F E T p F E T n F E r p > r n 7-3 6 c p n F E T p F E T 7-7 (W/L) p = 1 p F E T r p = 5800Ω c p = 2. 8 f F n F E T p F E T (W/L) p = 14 p F E T 7-3 7 n F E T p F E T R C 7.3.3 MOSFET 7-3 8 M O S F E T M O S F E T W L W n + p + F E T n + p + 7-21 7-21

7 VLSI 179 0. 8µm 1. 2µm 1. 4µm 0. 2µm M O S F E T 7-22 d o gate overhang n + d p - c p o l y - t o - c o n t a c t n + d m - c m e t a l - t o - c o n t a c t n + s c contact size d c c o n t a c t - t o - c o n t a c t M O S F E T L W 7.3.4 M O S F E T 0. 18µm 0. 1µm 7-23 a M O S F E T 7-22 MOSFET W/LL L W A=W L < L scaling fartor α> 1 7-3 9 7-23 b α= 2 7-4 0

180 a) F E T a) 7-23 MOSFET 7-4 1 W L 7-4 2 7-4 3 1 /α 2 integration density 7-8 L= 1µm W= 20µm α= 2 7-4 4 25 % 7-4 5 7-4 6 V L S I

7 VLSI 181 1965 Gordon Moore Moore's law 7-24 1970 0. 1µm quantum mechanical M O S F E T 4 7-24 7.4 C M O S n F E T p F E T C M O S a) n M O S F E T b) p M O S F E T 7-25 MOSFET F E T 7-25 n F E T p F E T C M O S M O S F E T 3 7.4.1 CMOS p F E T n F E T

182 N O T 7-26 7 - a 7 - b A I n n F E T p F E T n F E T p F E T A a) b) 7-26 7-27 7 - a a ) b) 7-27

7 VLSI 183 7.4.2 C M O S W/L C o u t 7-28 b M O S F E T 7-28 b R n R p n F E T p F E T p F E T n F E T a) b) 7-28 p F E T n F E T R p C o u t R C 7-47 t L H V o u t V o u t t x =V X 7-4 8 7-4 9 7-48 0. 1V D D 0. 9V D D t L H t x t 90 % t 10 % 7-5 0 7-5 1

184 7-5 2 l n ( 9 ) 2. 2 7-5 3 C o u t p F E T R p t H L C o u t V o u t 7-5 4 7-5 5 7-5 6 0. 9V D D 0. 1V D D 7-9 C o u t = 150 f F R p = 414Ω R n = 166Ω 7-5 7 1 n s 10-9 t H L t L H C o u t F E T 7-29 n F E T p F E T 7. 7. 1 M O S F E T 7-29 a) b)

7 VLSI 185 7-5 8 7-18 7-30 C i n 7-30 7 - a R C 7-30 b C D n C D p M O S F E T n F E T p F E T 7-31 n F E T p F E T V o u t = 0 v V D D p F E T 7-31 a R p p F E T C F E T 7-5 9 M O S F E T n F E T V o u t =V D D 0 v 7-3 1 b a) b) 7-30 MOSFET 1 b) 0 C F E T. 7-31 n F E T R n 7-6 0 R C o u t C o u t

186 τ C o u t 7-31 0 1 p F E T R p 7-3 1 a 1 0 7-31 b τ 7-6 1 7-6 2 7-6 3 7-6 4 t p 7-32 a 7-6 5 C i n C i n C F E T 7-32 b 0 v V D D p F E T R p C F E T C i n a) b) INV 1 7-32 7-33 7-6 6

7 VLSI 187 V o u t V D D 0 v 7-33 C i n 7-6 7 7-6 8 7-6 9 3 7-34 C i n 7-34 N 7-7 0 7-7 1 V L S I 7-7 2 r W/L = 1 7-35 7-27 7-35 a 1 / 2R n / 2 R p / 2

188 7-7 3 C F E T 7-71 N C i n 7-7 4 7-35 b 3 3 7-27 F E T 7-7 5 7-7 6 a) 2 F E T Tb) 3 F E T 7-35 V L S I a r e a s p e e d V L S I real estate 7.5 MOSFET C M O S p F E T n F E T

7 VLSI 189 7.5.1 M O S F E T 7-36 MOSFET M O S F E T 7-36 b 3 n M O S F E T x y 7-36 b 3 M O S F E T n M O S F E n n M O S F E T M O S F E T a) b) 7-37 a 7-37 b n a) b) 7-37 MOSFET M O S F E T M O S F E T 7-38 a x y 7-37 a 7-38 b x n y F E T

190 7-38 MOSFET V L S I M O S F E T C A D a) b) 7.5.2 M O S F E T 7-39 a N A N D 2 7-39 b 7-27 A B p n n F E T p F E T 7-40 a N O R 2 7-40 b N A N D 2 F E T F E T N A N D 2 N O R 2 N A N D N O R a) NAND2 b) NAND2 7-39 NAND2 7.5.3 7-41 a 7-7 7 7-41 b n F E T n F E T p F E

7 VLSI 191 C D pfet V D D A B pfet A B a) NOR2 b) NOR2 7-40 NOR2 a) b) 7-41 4

192 7.5.4 V L S I n 2n F E T A g a t e A F E T 7-7 8 V L S I V L S I 7.6 C A D C A E V L S I A N D O R N O T 7.6.1 V L S I l i b r a r y V D D 7-42 Y

7 VLSI 193 X p o r t 7-42 N O T N A N D N O R 7-43 V L S I N O T N A N D N O R 7-44 7-44 a N O T N O T 7-44 b N A N D 2 N A N D A N D 7-44 C N O R 2 O R N O R 7-43 A S I C A S I C A S I C C M O S a) b) c) A S I C A s i c

194 a) NOT-NOT b) NAND2C c) NOR2C 7-44 7.6.2 V L S I 7-45 7-45 a 7-45 b 1 a b y a by 2 f x y V D D G N D

7 VLSI 195 Gnd 2 2 a) b) 7-45 a) b) 7-46 7-46 7 - a N A N D 2 N O T N O R 2 7-46 b M O S F E T s 7-47 7.6.3 7-47 M O S F E T M O S F E T

196 7-47 V H D L V H D e n t i t y a r c h i t e c t u r e V L S I M O S F E T V H D L f l a t t e n 7-4 8 7-48 7.7 = V L S I V L S I f l o o r p l a n 7-49 y i e l d Y = 100 % 7-79 1 5 3 6 2 7-49

7 VLSI 197 Y A A = X H CMOS VLSI 7-8 0 7-50 D D 1 / c m 2 D D= 1 7-50 7-51 a 7-51 b 4 a) ` b) 7-51 7-8 1 V L S I V L S I

198 M O S F E T i n t e r c o n n e c t w i r i n g 7.7.1 V L S I C M O S V L S I 7-52 M O S F E T 1 2 3 w S C M O S 5 5 7-55 4 3 2 1 7-52 V L S I C A D place and route

7 VLSI 199 C A D B C D 7-53 7.7.2 7-54 a V o u t, 1 (t) l V i n, 2 (t) l 7-54 b x= 0 x= l R C 1 2 R C a) b) RC 7-54 RC x= 0 x= l t d t d 7-8 2 κ 7-55

200 I 0 2l 0 7-8 3 7-55 7-8 4 4 L i n d u c t a n c e 4 7.8 1. n=p= 1. 5 1 0 10 c m - 3 14 [ N S i ] 2. n N d = 10 17 c m - 3 n n p n 3. N a = 2 1 0 16 c m - 3 p p n p 4. p N a = 10 15 c m - 3 p p n p 5. n N a = 10 18 c m - 3 n n p n 6. n n n = 4 1 0 17 c m - 3 7. MOSFET

7 VLSI 201 F / c m 2 x o x M O S C o x 1 Å = 1 1 Å = 10-8 c m a x o x = 4 0 0 Å b x o x = 2 0 0 Å c x o x = 1 2 0 Å 8. M O S M O S [ M O S ] 9. C M O S C o x = 1. 8 1 0-7 F / c m 2 F E T C G 1 = 10-4 f F a W= 1 4 L= 1 b W= 1 0 L= 1 c W= 2. 5 L= 1 d W= 4 L= 1. 2 e W= 4 L= 0. 8 10. n F E T k n = 0. 0002 A / V 2 V T n = + 0. 7 v V D D = 5 v I n 1 11. n F E T k n = 0. 0003 A / V 2 V T n = + 0. 7 v V D D = 3. 3 v I n1 12. p F E T k p = 0. 00006 A / V 2 V T p = + 0. 8 v V D D = 5 v I p1 13. p F E T k p = 0. 000047 A / V 2 V T n = + 0. 82 v V D D = 3. 3 v I p1 14. n F E T W/L = 3 I n = 0. 23 m A I n = 1. 2 m A 15. n F E T W/L = 10 I n = 8. 2 m A I n = 0. 7 m A 16. C M O S n F E T r n = 1800Ω c n = 1. 7 f F R n C n a W= 8 L= 1 b W= 4 L= 1 c W= 2 L= 1 17. n F E T W/L = 1 I n = 0. 5 m A I n = 4. 7 m A R n C n r n = 1600Ω c n = 2. 1 f F R n C n 18. p F E T W/L = 6 I p = 0. 14 m A I p = 2 m A 19. p F E T W/L = 10 I p = 0. 24 m A I p = 6 m A 20. C M O S p F E T r p = 2200Ω c p = 1. 4 f F R p C p d W= 8 L= 1 e W= 4 L= 1

202 f W= 2 L= 1 21. F E T W= 12 L= 2 α= 2. 3 F E T 22. n F E T F E T 23. n F E T F E T 24. C M O S R n = 800Ω R p = 1000Ω V D D = 5 v C o u t = 200 f F (a) t L H (b) t H L (c) F E T C o u t = 240 f F [ R n R p ] 25. C M O S R n = 440Ω R p = 440Ω V D D = 5 v C o u t = 167 f F (a) t L H (b) t H L 26. C M O S R n = 600Ω R p = 590Ω V D D = 5 v F O = 1 C o u t = 200 f F C i n = 62 f (a) F O = 1 t L H t H L (b) 3 t L H t H L 27. 5000 82 41 % (a) (b) 45 %

7 VLSI 203 28. 5000µm 4 000µm (a) D= 0. 5 c m - 2 (b) 3900µm 2 900µm 29. 6000µm 6 000µm (a) D= 0. 6 c m - 2 (b) D 0. 5 c m - 2 30. 200 0. 8 p s (a) 150 (b) 350 (c) 700 Physical Design of CMOS Integrated Circuits Using L-EditP W S 1995 P C L - E d i t D O S L - E d i t L - E d i t

8 hierarchical approach 8.1 m o d u l e 8-1 a b c d f s 1 s 2 8-1 f 2 2 = 4 8-1 8-1 s 1 s 0 S 1 S 0 2 8-1

8 205 8.2 4 8-2 f a=b a n =b n (n= 0, 1, 2, 3 8-2 8-2 X N O R 8-3 X N O R 8-3 f 1 f 1 t e r m 8-4 ( 8-4 ) 8-3

206 8-4 8.3 B C D 0 9 4 A B C D B C D Binary-Coded Decimal 8-5 4 A B C D 2 4 = 16 8-5 X 8-5 BCD B C D B C D A B C F F A B C D B C D F = 0 A B C D B C D F = 1 F F 0 A B C D B C D F 1 A B C D B C D F B C D B C D 8-6

8 207 BCD 8-6 BCD B C D A B C D 1 0 1 0 1 0 11 11 0 0 11 0 1 111 0 1111 F 1 8-7 4 F 1 F = A B + A C= A (B + C) 8-5 8-8 D 8-8 BCD 8-7 8-8 BCD 8.4 8-9 a S 1 S 0 2 D 0 D 1 D 2 D 3 4 2 4 2 4 2 / 4 X/Y X Y= 2 X 8-9 b 2 / 4 S 1 S 0 n D n D n 1 0 a c t i v e - h i g h S 1 S 0 = 10 2 D 2 = 1 D 0 = 0 =D 1 =D 3 S 1 S 0 a) 2/4 b) 8-9 2/4

208 2 / 4 8-9 b D n 1 8-6 8-10 D i 2/4 8-10 2/4 active low 0 1 8-11 8-10 8-12 8-7 8-8

8 209 a) b) 8-11 2/4 2/4 8-12 2/4 8.5 M U X M U X 8-13 4 1 4:1 MUX P 0 P 1 P 2 P 3 F S 1 S 0 S 1 S 0 = 00 0 P 0 S 1 S 0 = 11 3 F=P 3 M U X 4 S O P 8-9 S O P 8-14 S O P

210 a) 4 1 b) 8-13 4 1 8-14 4 :1 MUX 8-1 5 4 : 1 M U X I E E E S 1 S 0 G Gd e p e n d e n c e P d S 1 S 0 S 1 S 0 d P d 4:1 MUX n M U X y n= 2 y 8:1 MUX 3 8-15 4 :1 MUX IEEE S 2 S 1 S 0 p 0 p 1....p 7 8:1 MUX 8-1 0

8 211 M U X 16 : 1 3 2 : 1 M U X 2 : 1 4:1 MUX M U 8-1 8:1 MUX I E E E 4:1 MUX 8:1 MUX S 2 S 1 S 0 P 0 P 7 8 8 :1MUX 8-2 8 :1 MUX 2 4:1 MUX 1 2:1 MUX 8:1 MUX S 2 S 1 S 0 S 1 S 0 4:1 MUX S 2 2:1 MUX 8:1 8 :1 MUX

212 M U X 1 M U X 2 X 0 X 2 M U X - 3 X 1 X 2 8-10 8.5.1 4:1 MUX 8-11 x y 8-9 S 1 S 0 x y 2 S O P P 0 P 1 P 2 P 3 1 0 8-16 a M U X 8-1 2 8-16 b 8-1 3 M U X 8-17 a M U X 8-1 4 8-17 a 8-17 b a) b) 8-16 4:1 MUX 8-1 5

8 213 a) XOR b) XNOR 8-17 MUX 8-18 8:1 MUX A B C f 1 8-1 6 8-16 1 3 4 7 S O P 8.5.2 VHDL 8-18 8:1MUX SOP 8-13 4:1 MUX V H D L M U X 4 d0 d3 2 s f 4:1 MUX

214 8.6 D E M U X 8-19 1 1:4 DEMUX 2 S 1 S 0 x 4 D E M U X 8-1 7 a) 1:4DEMUX b) 8-19 DEMUX 8-20 1:4 DEMUX 4:1 MUX

8 215 8-20 DEMUX 8.6.1 VHDL D E M U X V H D L

216 V H D L 8.6.2 8-21 16 16:1 MUX 16 P 0,,P 15 t r a n s m i t t e r 4 S 3 S 2 S 1 S 0 r e c e i v e r D E M U X D 0,,D 15 S 3 S 2 S 1 S 0 = 0001 P 1 D 1 S 3 S 2 S 1 S 0 = 0010 D 2 16 P 2 8-21 8-22 P 0 P 1 P 2 P 3 4 M U X / D E M U X 0 1 bit time t b i t t b i t t b i t P 0 101001010 P 1 011010011 s a m p l e

8 217 Sampling time t s a m p l e 4 P 0 P 1 P 2 P 3 P 0 P 1 P 2 M U X t s a m p l e 8-22 4 t s a m p l e t b i t t b i t time-division multiplexing Sampling theorem 8-1 8 + 1 8-1 9 X O R 1 1 carry bit 2 1 10 + 1 10 = 2 10 8-2 0

218 1 + 1 = 0 1 1 + 0 + 0 = 10 2 = 2 10 8.7.1 3 a n b n c n s n c n+ 1 8-23 s n c n + 1 s n s n 4 1 2 3 5 8 S O P 8-2 1 8-2 2 a) b) 8-23 8-2 3 1 1 0 a n b n c n odd function c n+ 1 4 1 8-2 4 c n+ 1

8 219 8.7.2 h a l f - a d d e r c n = 0 8-24 2 a n b n s n c n+ 1 s n c n+ 1 8-2 5 a) b) 8-24 8-25 8.7.3 8-25 8-26

220 8-26 8-2 6 a n =b n = 1 a n +b n =a n b n a n =b n = 1 a n b n = 1 a n +b n a n b n c n+ 1 8-7 s n = 1 S O P 8-2 7 8-27 c n+ 1 = 0 a n +b n +c n = 1 s n = 1 c n+ 1 = 1 a n b n c n = 1 s n = 1 8-2 8 8-28 s n s n c n+ 1 A N D - O R - I n v e r t 8-28

8 221 AOI 1 AOI 2 8-28 8.7.4 VHDL V H D L 8-25 V H D L 8-26

222 (a_n x o r b _ n ) ) ; 8-28 A O I 8.7.5 2 4 8-2 9 parallel adder 8-3 0 8-30 1 4 c 0 c 0 = 0 4 c 0 = 1 4 8-3 0101 0 111 8-3 1 11 00 4 8-4 1101 1110 8-3 2

8 223 27 4 15 8-29 4 r i p p l e - c a r r y n n+ 1 8-30 a t= 0 s 0 s 1 c 1 c 2 s 2 4 t 4 b 8-30 b s n c n+ 1 8-29 4 a) FA 8-30 4 0 a 0 +b 0 c i n = 0 FA FA FA 8 FA FA 8-31 4 FA 4 8-32 b) FA

224 8-31 8 8-32 2 4 8 8-33 4 I E E E P Q C I C O 4 4 8.7.6 C M O S C M O S A O I 8-3 3 C M O S A O I 8-34 6 s n c n+ 1 2 8-35 8 - a c n+ 1 8-35 b sn s n c n+ 1

8 225 AOI 1 AOI 2 8-33 4 IEEE 8-34 CMOS a) b) 8-35 CMOS AOI 8.8

226 0-1 0-1 =1( 1 ) 8-3 4 8-3 5 2 1 2-1 = 1 8-3 6 0-1 = 1 8-3 7 X Y D -Y Y=y 3 y 2 y 1 y 0 -Y =w 3 w 2 w 1 w 0 w n 8-3 8 8-3 9 8-4 0 8-4 1 w n = y n 1 + 0 = 1 8-4 2 8-4 3 1 8-4 4

8 227 4 0000 5 1 Y Y = y y2 y1 y0 3 Y Y Y 1 Y Y 8-4 5 Y + Y = 0 8-46 X-Y (X Y) = X + Y 8-4 7 n n+ 1 8-5 X= 1101 Y= 0101 X-Y Y Y X Y Y 1010 8-48 Y 1010 + 1 8-49 = 1 0 11 8-5 0 5 (X Y) = 1000 X= 13 Y= 5X Y = 8 1000 8-5 1 n Y Y 0 Y= 0 Y = 2 n Y 8-52 0 = 0 8-53 n 0 = 1 1 8-52 Y = 2 n 1 Y+ 1 8-5 4 2 n 1 n 1 n= 4 2 4 1 = 16 1 = 15 n= 8 ( 2 4 1) = 1111 8-5 5 ( 2 8 1) = 11111111 8-5 6 2 n 1 n Y 1 0 = 1 0 1 1 = 0 Y 1 Y

228 X Y Y = 2 n 1 Y 8-5 7 Y =Y + 1 8-58 X+Y =X+ 2 n Y = 2 n + X Y 8-5 9 2 n n+ 1 1 n 0 n + 1 X-Y X Y X Y =X+Y 8-6 8-6 0 A= 9 4 A= 1 0 0 1 8-6 1 A = 0110 + 1 8-62 = 0111 B= 15 B AB B= 1111 1 B=A = 1111 + 0 111 = 1 011 0 B A = 011 0 B 8 = 6 1 0 8-6 3 8-6 4 B A 00001111 + 0111 8-65 = 0001011 0 = 22 10 A 4 8 A = 00000110 + 1 8-66 = 1111 0 111 B A = 00001111 + 1111 0 111 = 1 0000011 0 8-6 7 = 6 1 0 8.8.1 Y 1 n 8-36 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0

8 229 1 h 7 h 6 h 5 h 4 h 3 h 2 h 1 h 0 / 8-37 b n S U B S U B = 0 SUB =1 +1 8-36 8-37 8 / b 8-38 b n S U B S U B = 0 f=b n b n S U B = 1 f= b n S U B 8 B S U B = 0 8 A+B S U B = 1 B 1 A B A-B 8-39

230 8-38 / XOR 8.8.2 A-B 0 A B A<B 8-39 8 a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 2 8 = 256 0 2 55 8-40 8 A 2 56 25 a7 sign bit s A=s a 6 a 5 a 4 a 3 a 2 a 1 a 0 8-40

8 231 A 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 2 7 = 128 + 1 1 s S= 0 + 1 S= 1 1 8-41 0 1 27 0 12 1000 0000 = 0 0 0 256 256 / 2 = 128 8-41 8 A=s a 6 a 5 a 4 a 3 a 2 a 1 a 0 s 8-6 8 s= 0 A s= 1 A 128 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 8-42 8-43 0 1 0 1 27 1 128 / 8-42

232 8-43 8-7 N= 10010111 8-69 N = 1 28 + 16 + 4 + 2 + 1 8-70 = 1 05 8.9 8-7 1 8-44 8-44 n a 1 a 0 b 1 b 0 2 2 8-45 b 0 a 1 a 0 b 1 a 1 a 0 4 p 3 p 2 p 1 p 0 a) b)

8 233 8-7 2 + 4 8-46 2 2 8-45 8-45 2 2 8-46 2 2 2 4 4 a 3 a 2 a 1 a 0 b 3 b 2 b 1 b 0 b n n= 0 3 a 3 a 2 a 1 a 0 n 8-4 P i 8-7 3 i- 1 c i p i 8-74 8-7 4

234 8-47 4 4 p i 0 <i< 7 i 2 n 2n 8-8 1010 1 001 10 9 = 90 4 8-46 2 2 8.10 T G 8-48 A B S S S A B S= 0 A B S= 1 A B 8-48 A=B 8-49 S S S S

8 235 a b c a S= 0 B A b S= 1 B=A 8-49 S= 0 T G S= 1 A B b A S B a A B S= 1 B=A S S= 1 8-7 5 S= 0 T G 8.10.1 2:1 MUX 8-50 P 0 P 1 S S= 0 T G 0 T G 1 F=P 0 S= 1 T G 0 T G 1 F=P 1 a 8-50 b F = P 0 S+P 1 S 8-7 6 P 0 S P 1 M U X + 4 : 1 8 : 1 n M U X m n= 2 m M U X m 8-51 4 : 1 M U X S 1 S 0 2 S 1 S 0 S 1 S 0

236 8-51 T G - M U X 8 : 1 16:1 MUX M U X 8-52 4:1 MUX 3 2:1 MUX S 1 2:1 MUX S 0 2 : 1 M U X M U X 8-52 8.10.2 8-53 a 2:1 MUX B= 0 T G 0

8 237 T G 1 F=A B B= 1 T G 0 T G 1 A B 8-7 7 8-7 8 B B 8-53 b 8-53 T G S O P T G l a t c h 8.10.3 CMOS a) XOR b) XNOR C M O S C M O n M O S F E T p M O S F E T 8-54 T G p F E T T G M O S F E T S= 0 T G S= 1 B=A CMOS TG 8-55 F E T T G 8-56 T G T G 8-57 a

238 a) b) CMOS 8-54 CMOS 8-55 CMOS 8-56 CMOS a) CmOS b) 8-56 CMOS 8. 11

8 239 8.12 1. 4 a = a 3 a 2 a 1 a 0 b = b 3 b 2 b 1 b 0 a n b n n= 0, 1, 2, 3 g= 1 2. 2 4 a = a 3 a 2 a 1 a 0 b = b 3 b 2 b 1 b 0 a 0 b 0 a 2 =b 2 h= 1 3. 2 4 a = a 3 a 2 a 1 a 0 b = b 3 b 2 b 1 b 0 a 1 =b 2 a 2 =b 3 a 3 =b 0 b 2 G= 1 4. 2 / 4 O R 5. 3 / 8 6. 2 : 1 p 0 p 1 7. 3:1 MUX 8. 2 : 1 M U X 4:1 MUX 9. 2 : 1 M U X 8:1 MUX 10. 4:1 MUX f a, b 11. M U X f A,B,C 3

240 12. M U X 13. 8:1 MUX 14. 8:1 MUX 15. M U X M U X 16. M U X 17. M U X G 18. M U X X a, b, c, d

8 241 19. 1:8 DEMUX 20. (a) 11 0 0 + 0 1 0 1 (b) 011 0 + 0 11 0 (c) 0011 + 0 1 0 1 21. (a) 11 0 0 0 11 0 + 0 1 0 111 0 0 (b) 1111 0 0 0 0 + 1 0 1 0 1 0 1 0 (c) 10100010+1111 0 0 0 1 22. 16 0 x (a) 0xAE+0x37 (b) 0x35+0xF2 (c) 0xB7+0x5C (d) 0x90+0x83 23. 0 F (a) 7+A=? (b) 9+B=? (c) 3+F=? (d) 5+4=? (e) E+C=? (f) 1+9=? 24. 8-28 A O I V H D L 25. 4 V H D L 5 26. 8-29 4 FA s n 1. 5 n s c n+ 1 1. 8 n s (a) 2 4 (b) FA 8 2 27. 8-29 4 2. 1 n s 1. 7 n s 2 4 28. (a) 0111 (b) 1010 (c) 1111 (d) 1011 0 1 0 1 (e) 11 0 0 11 0 0 (f) 10100101 29. 4 8

242 (a) 14 6 (b) 34 2 1 (c) 134 6 2 (d) 196 11 8 30. 4 31. 4 s a 2 a 1 a 0 s 32. (a) 1010 1 0 11 (b) 111 0 0 0 1 0 (c) 1011 0 111 (d) 0100 1 0 11 33. 0 x 3 4 0 x 1 7 34. 2 3 a 2 a 1 a 0 b 2 b 1 b 0 (a) p i (b) 3 35. F = x y +x z 36. S S= 0 S= 1 37. T G X O R 38. T G 7

9 9.1 9-1 D 0 1 ( ) ( ) 9-1 D Q E n a b l e Enable = 0 Enable = 1 / R A M / R O M R O M R O M R O M P R O R O n R A M 9.2 9-2 Q t Q = 0 Q = 1

244 9.2.1 SR S R SR S R Q 9-3 9-2 9-3 SR S Q = 1 R Q Q = 0 A 1 A A 0 S R N O R 2 N A N D 2 S R S R 9-4 a 9-4 b S R S R S ( t ) R ( t ) S R S = 0 R = 0 S= 0 =R Q 9-5 1 0 1 0 S= 0 R= 0 Q = 0 S 0 Q= 1 a) b) 9-4 SR

9 245 R Q = 0 Q= 1 Q Q = 0 Q = 1 S 0 1 R = 0 S 0 9-5 S S = 1 Q 0 R = 0 Q = 0 Q = 1 Q 0 S R 1 0 S R S R S R 9-6 S R R = 1 S = 1 S 1 0 Q = 1 S 1 R 1 0 Q = 0 R 1 S R 0 9-6 SR 9-7 0 1 1 a) b) 9-3 S R9-7 1

246 9.2.2 D D D D 9-8 S R D D D S R D = 0 D 1 Q = 0 D = 1 Q= 0 a) b) c) 9-8 D 9.3 1 0 1 0 9-9 ø t C L K T 1 s 1 H z T µs n s T = 1µs 1µs = 10 6 s 1ns = 10 9 s ( 9-1 ) ( 9-2 ) t[ ] 9-9 M H z

9 247 9-1 500 M H z T ( 9-3 ) 9.3.1 S R S R 9-10 a 9-4 ø(t) R S ( 9-4 ) a) b) 9-10 SR a) b) 9-11 D 0 0 ø = 0 ø = 0 ø = 1 S R 9-10 b ø

248 9.3.2 D D 9-11 a ø D ' = D ø ( 9-5 ) 9-11 b S R ø = 1 D ø = 0 Q Q 9.4 - Q A Q t - 9-12 A ø t 9-13 - 9-13 a A 9-13 b A Q = A B Q t B 9.4.1 - D - D D F F 9-14 D D ø t D F F ø ø = 0 ø ø = 1 D F F 9-15 9 - a ø = 0 D = 1 ø = 1 9-15 b A Q= 1 Q= 0 9-12 -

9 249 a) b) 9-13 - 9-14 D a) b) 9-15 DFF

250 [ ] [ ] [ ] 9-16 - DFF 9-16 - D F F 9-16 ø t ø = 0 D ø = 1 D F F Q t - D F F ø = 0 D ø = 0 ø = 1 Q - Q D - - D F F 9-17 a ø = 0 ø = 1 D a) b) 9-17 DFF 9-17 b D F F Q D t D ( t ) t

9 251 Q t+t T t+t Q D F F Q t+t =D t ( 9-6 ) D D F F Q D 9-18 2 1 L D L D = 0 L D = 1 d D F F Q 0 9-19 R E S E T R E S E T = 0 D = d R E S E T = 1 D = 0 R E S E T D 9-18 DFF 9-19 DFF D V H D L D D V H D L 9-20 D c l k ø c l k D c l k = 0 Q c l k = 1 V H D L V H D L -- D V H D L 9-20 b - -

252 p r o c e s s c l k = 1 D Q c l k 9-21 D F F V H D L e v e n t c l k e v e n t c l k c l k c l k c l k 1 D F F -- D F F V H D L 9-21 DFF - -

9 253 c l k = 0 - - D F F V H D L - - V H D L 9.4.2 S R a) b) 9-22 SR S R D F F S R D F F S R S = 0 = R S R S R 1 S R SR FF 9-22 a 9-22 b S ( t ) R ( t ) 1 S R ( 9-7 )

254 J K J K SR FF 1 Q, Q = R ( t ) Q, Q = 0, 1 S R 9-23 J K S S I ( 9-8 ) T a) b) 9-23 JK T 9-24 T F F T 0 1 a) b) 9-24 T 9.5 nb i t 9.5.1 n 1 b i t 8 9-25 a 9-25 b L D 8 b i t

9 255 9-26 a 9-26 b 8 b i t ø a) b) 8 9-25 9-26 11 nb i t 9.5.2 a) b) 9-27 a 9-27 b D Q 8 ø a) b) 9-27 9-28 9-28

256 ø D 0 = 1 D 1 = 1 D 2 = 0 D 3 = 0 9-29 a 0, 1, 0, 1, 0, 0, 1, 1 8 9-29 b 7T D 0 = 1 9-3 0 d 7 T D 0 = 1 D 0 = d 6 D 1 = 1 d 7 a) 7T d 7 D 0 = 1 d 0 F I F O b) F I F O9-29 9-30 F I F O f i - f o

9 257 9-31 S H R S H L 9-32 9 - a 10101100 SHR = 1 9-32 b 0 9-32 c SHL = 1 0 ( ) n 9-31 SHR n SHL m m a) b) 9-32 2 c) N = 000111 0 0 28 SHL 1 N L 1 = 00111 0 0 0 56 N L1 = 2N SHL 2

258 N L2 = 0111 0 0 0 0 112 10 N L2 = 2 2 N SHL n 2 n SHR m SHR 1 SHR 2 N = 000111 0 0 N R1 = 0000111 0 N R2 = 00000111 N R1 = N/ 2 N R 2 = N/2 2 N R1 = 14 N R2 = 7 SHR m 2 m R O R R O L a) 9-33 9-33 a 10101100 ROR = 1 b) 9-33 b ROL = 1 1 c) 9-33 ROR n ROL m 11 9.6 / R A M 9.6.1 R A M

9 259 9-34 a S R A M A = 0 A = 1 S R 9-34 b 9-4 9-34 R A M 9-35 S R A M W L S w W L = 0 W L = 1 W L = 0 W L = 1 D 9-35 SRAM W L = 0 W L = 1 W L = 1 9.6.2 SRAM a) b) S R A M nb i t m ns R A M m n 8 8 8 8 8 8 9-36 W L = 0 W L = 1 8 9-37 3 / 8 3 A 2 A 1 A 0 S R A M S- ram

260 E n a b l e R/W R /W = 1 R/W = 0 / R/W E n a b l e = 1 9-36 8 9-37 8 8RAM 9-38 a 9-38 b

9 261 100 4 01010101 a) b) 9-38 RAM / a) b) 9-39 64 8SRAM

262 S R A M 9-39 a 64 8 8 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 6 A 5 A 4 A 3 A 2 A 1 A 0 Enable =1 R/W R A M 9-39 b 9.6.3 R A M D R A M S R A M R A M D R A M S R A M D R A M D R A M D R A M MOS DRAM 9. 9. 2 D R A M 9.6.4 E D C E C C P B=b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 ( 9-9 )

9 263 b n 1 0 1 P P 1 P e v e n P e v e n B +P e v e n 1 B 1 = 01101101 P e v e n = 1 B 1 5 1 B 2 = 11110000 P e v e n = 0 B 2 4 1 P o d d B +P o d d 1 B 1 01101101 P o d d = 0 B 2 = 11110000 P o d d = 1 ( 1) ( 1) 9-40 9-40 P = b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 ( 9-1 0 ) 1 P = 1 P = 0 P = P e v e n ( 9-11 ) P 1 9-41 a P 8 + 1 = 9 P 9-41 b P c ( 9-1 2 ) ( 9-1 3 ) P P c C P = P c C = 0 P P c C = 1 C = 1 C C = 1 C = 0

264 a) b) 9-41 9.7 R O M R O M R O M 9-42 a R O M R A 9-42 b A 5 A 4 A 3 A 2 A 1 A 0 a) b) 9-42 ROM B I O S

9 265 E n a b l e b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 R O M 9.8 CD ROM C D R O M CD ROM C D C D CD ROM 9-43 C D 9-44 a 9-44 b a) b) 9-43 a) b) 9-44 CD 9-4 5 l a n d p i t s 1. 6µm CD ROM 540 MB 6 0

266 9-45 CD 1 v = 1. 25 m / s 9-46 a) b) 9-46 P re f 9-47 1 0 C D C D N R Z - i 0 1

9 267 0 1 T 1 150 K B / s N R Z - i 9-48 C D 9-47 1µm 1 1 0 C D / 8 1 4 E F M 8 b i t 14 b i t 1 9-49 a 9-49 a 8 b i t 9-48 C D E D C E C C D B E R ( 9-1 4 )

268 14b 14b 8 a) EFM 8 14 9-49 CD 8 14 N e N T C D C D B E R R E R= 10 9 B E R= 10-12 C D E D C E C C C D R A M ( a ) ( b ) C D R e e d - S o l o m o n C D 1 m m 9.9 CMOS C M O S M O S F E T C M O S 9.9.1 CMOS SRAM b) EFM CMOS SRAM 9-50 M n 1 M p 1 M n 2 M p 2 N 9-35 6 T 6 T C M O S M O S F E T 4 T

9 269 / 9-51 I / O D 1 D 2 W L 1 W L 2 W L 1 W L 2= 0 ( 9-1 5 ) 9-50 CMOS SRAM 1 2 1 2 9-51 SRAM 9.9.2 S R A M D R A M S R A D R A M b i t

270 D R A M 9-52 n F E T C S 256 M b 1 G b D R A M D R A M n F E T W L W L = 1 n F E T 9-52 CMOS RAM(DRAM) V D C S V S 9-53 ( 9-1 6 ) V S = V 0 = 0V Q S = 0 0 V S = V 1 1 Q S = C S V 1 ( 9-1 7 ) W L = 0 Q S n F E T 0 F E T I L 9-54 a 9-54 b p n I R I L = I R ( 9-1 8 ) C S 0 1 9-53 DRAM 1 0V a) b) 9-54 DRAM D R A M

9 271 1 V S =V 1 n F E T ( 9-1 9 ) ( 9-20 ) ( 9-21 ) ( 9-2 2 ) t H V m i n V m i n 1 V 1 t = 0 1 ( 9-2 3 ) 50 f F = 50 1 0 1 5 F 1 p A 1 0 1 2 A 1 V 50 m s ( 9-2 4 ) 9-55 W L = 1 9-55 DRAM 10-3 ( 9-2 5 )

272 R A M 9.9.3 ROM C M O S R O M E P R O M E P R O M Erasable-Programmable ROM U V R O M E 2 P R O M E 2 E P R O M U V E P R O M 9.10 C M O S T G T G T G 9.10.1 9-56 T G 0 T G 1 L D L D T G 0 T G 1 T G L D = 1 T G 0 T G 1 9-57 a D Q = L D = 1 9-57 b L D = 0 T G 0

9 273 T G 1 Q T G L D = 1 ø 9-58 L D L D ø ø 9-56 TG ø = 1 a) L D = 1 b) L D = 0 9-57 TG ø = 1 D a) b) 9-58 TG a) b) 9-59

274 9-59 T G 0 L D ø L D ø L D = 1 ø= 1 9-58 L D 9-60 ø t L D L D ø 0 [ ] [ ] [ ] 9-60 TG 9.10.2 TG T G 9-61 ø = 0 ø = 1 D F F ø ø D F F 9-62 1 2 9-61 DFF

9 275 1 2 9-62 DFF 9. 11 1. S R S R Q t 2. 9-10 S R Q t 3. D t D F F Q t

276 4. D t D F F 5. S R R 1 S = 0 0 1 6. S R S R 0 7. 8 01011100 (a) SHR 2 (b) SHL 1 (c) ROL 3 (d) ROR 2 8. 8 86 (a) SHR 1 (b) SHL 1 (c) SHR 2 (d) ROR 2 9. 16 0 x 4 A 35 (a) SHR 6 (b) SHR 3 (c) SHL 8 (d) SHL 16 10. 9-37 8 8 S R A M 0 1010 1111 1 1010 1111 2 1010 1111 3 1010 1111 4 1010 1111 5 1010 1111 6 1010 1111 7 1010 1111 (a) A 2 A 1 A 0 = 101 (b) A 2 A 1 A 0 = 011 (c) A 2 A 1 A 0 = 100 (d) A 2 A 1 A 0 = 11 0 11. 9-38 16 4 S R A M 12. 9-39 64 8 S R A M 64 b 3 2 b

9 277 13. 9-39 64 8 S R A M 256 3 2 b i t C S C S = 0 C S = 1 14. S R A M D R A M b i t 15. S R A M 16. 3 b i t b 2 b 1 b 0 p 3 + 1 = 4 (a) p b 2 b 1 b 0 = 0111 (b) p b 2 b 1 b 0 = 11 0 1 (c) p b 2 b 1 b 0 = 0100 (d) p b 2 b 1 b 0 = 11 0 1 (e) p b 2 b 1 b 0 = 0000 17. 1024 1 6 b i t 18. CD ROM C D 2352 2048 C D 74 333, 000 (a) 74 (b) (c) 60 C D 19. B E R 10 1 0 100 M b 20. R A M D R A M C S =6 0 f F I L = 0. 2 p A 1 V 1 = 3V V m i n =1. 2 V 21. R A M D R A M C S =5 5 F I L = 0. 14 p A V 1 =3 V 1 V m i n =1 V (a) 0 (b) 1 22. DRAM α D R A M

10 10.1 1) 2) ( P I N ) 3) 4. $20.00 10-1 X 3 n 2 n 1 n 0 0 7 R R = 0 0 6 ( 10-1 ) R = 1 7 0 n 2 n 1 n 0 000 0 01 ( 10-2 ) 10-1 R R 111. R=n 2 n 1 n 0 ( 1 0-3 ) n 2 n 1 n 0 8 ( 1 ) ( 2 ) 10-2

10 279 X/R X R X Add 1 X = R = 10-1 10-2 X 1 n 2 n 1 n 0 111 R 1 R 0 10-3 (X= 1 ) 8 10-3 10.1.1 ø(t) ø(t) 10-4 T ( 1 0-4 )

280 T t t -T t T t 1 t 1 [ ] 10-4 ø(t) 10-5 D F F D F F 0 1 D D F F D D a ) b) 10-5 DFF D F F 10-6 D F Q D Q D F F t D Q (t 1 ) Q Q(t+ 1 ) =D Q (t) ( 1 0-5 ) D F F Q(t) =D Q (t 1 ) ( 1 0-6 ) Q(t) D = a ) 10-6 DFF b) 10.1.2 1 0-7 1 2 M ( )

10 281 10-7 A B C ( ) 1 x y x y M a b x y a(t) =x(t- 1 ) b(t) =y(t- 1 ) 1 0-7 2 x y A B C f 0 f 1 f 0 f 1 f 0 = f 0 (A,B, C;a,b) ( 1 0-8 ) f 1 = f 1 (A,B,C;a,b) ( x y ) ( ) x y 2 = 4 ( a b ) = ( 0 0 ), ( 0 1 ), ( 1 0 ), ( 11 ) 1 0-9 4 10-7 10-8 g 0 g 1 x y M a b A B C 10-8

282 10.2 10.2.1 10-9 c T X X X 0 X 1 10-9 1 10-10 a 10-8 X O R 10 - c X X O R t T ( 1 0-1 0 ) D X (t) D F F T(t) D F F X(t+ 1 ) ( 1 0-11 ) ( 10-10 ) ( 10-11 ) t ( t+ 1 ) 10-10 a 10-10 b t c(t) X(t) t T(t) ( 10-11 ) X(t+ 1 T(t) a) b) 10-10 1 0-11 10-10 b X 1 X 0 X 0 c 0 c 1 X 1 X 1 c 0 c 1 X 0 4

10 283 T c T 10-11 c c 0 c 1 2 10-12 x y A 10-11 10-10 f 2 10-12 x y A f D F F D A (t) A ( t + 1 ) (10-12)~ (10-14) A(t) x(t) y(t) f(t) D A (t) A(t+ 1 ) 10-13 ( 1 0-1 2 ) ( 1 0-1 3 ) ( 1 0-1 4 ) x(t) y(t) A(t) f(t) A(t+ 1 ) 10-12 2 (10-12)~ (10-14) ( 1 0-1 5 ) ( 1 0-1 5 ) A(t) 0 A A 0 A 1 A(t) A(t+ 1 ) ( 10-16 ) A(t) = 0 A(t+ 1 ) = 0 ( 10. 17 ) A(t) = 0 A(t+ 1 ) = 1 10-13 10-12 ( 1 0-1 6 )

284 ( 1 0-1 7 ) A(t) 1 ( 10-18 ) A(t+ 1 ) = 1 ( 10-19 ) A(t+ 1 ) = 0 ( 1 0-1 8 ) ( 1 0-1 9 ) 10-14 3 10-14 10-12 10-15 10-7 u v g D F F R ( 1 0-2 0 ) ( t ) ( 1 0-2 1 ) ( 10-20 ) ( 10-21 ) 10-16 u(t) v(t) g(t) R(t+ 1 10-17 10-16 10-15 3 10-16 10-15

10 285 10-17 10-15 10.2.2 2 4 3 8 10-18 S a x a y x y 10-18 ( 1 0-2 2 ) x X O R y X O R ( 1 0-2 3 ) ( 1 0-2 4 ) 10-19 S x y 4 4 10-20 S/a x a y 10-20 ( 00 ) ( 01 )

286 ( 00 ) ( 01 ) ( 11 ( 01 ) ( 00 ) ( 01 ( 1 0 ) ( 0 0 ) S S S 10-20 10-21 4 2 A B 2 X Y X Y 4 10-22 1 3 ( 00 ) (X Y) = ( 00 ) ( 01 ) ( 10 ) ( 11 ) (A B) = ( 00 ) ( 01 ) ( 10 ) ( 10 ) 10-19 10-21

10 287 10-22 10-21 10.2.3 ( ) 10.3 ( ) D(t) Q(t) D D F F 10-23 DFF

288 D F F 10-23 F F ( 1 0-2 5 ) 10-24 0 1 A(t) 0 1 X(t) f(t) 10-25 A(t) A(t+ 1 ) D F F D A (t) A(t) A(t+ 1 ) 10-24 a) b) f(t) c) D A (t) 10-25 f(t) D A (t) f(t) f(t) D A (t) D A D A (t) 3 f(t) D A (t) 10-25 b 1 0-25 c x f 10-26 A ( 1 0-2 6 ) A 10-26

10 289 10.4 1 φ 10-27 A 1 A 0 A 1 A 0 00 11 F l i p - f l a p ( 1 0-2 7 ) ( 1 0-2 8 ) 10-27 a) b) 10-28 10-28 a A 1 A 0 ( 1 0-2 9 ) ( 1 0-3 0 ) 10-28 b

290 A 2 A 1 A 0 10-29 0 7 ( 1 0-3 1 ) 10-29 D D 2 D 1 D 0 A 2 A 1 A 0 A 2 A 1 A 0 A 2 (t+ 1 ) =D 2 (t) A 1 (t+ 1 ) =D 1 (t) A 0 (t+ 1 ) =D 0 (t) D F F 10-30 D F F A 2 A 1 A 0 D 2 D 1 D 0 A 2 A 1 A 0 D 2 D 1 D 0 ( S O P ) 10-30 ( 1 0-3 3 ) 1 D F F 10-31 ( 1 0-3 4 )

10 291 a) D 2 Map b) D 1 Map c) D 0 Map 10-31 D 2 X O R A 1 A 2 10-32 P L A S O P P L A 10-33 A 2 A 1 A 0 D 2 D 1 D 0 10-34 m 0 m 7 Dn 0 10-32 3 10-33 PLA

292 10-34 3 PLA 10.5 F P G A S C A D F P G A F P G A

10 293 10.6 1. P 10-1 p f A (a) f(t) D A (t) (b) (c) 10-1 2. 10-10 a X O R 2 O R 2 (a) (b) 3. P 10-2 10-2 4. P 10-3 X A B x(t) A(t)B(t) A(t+1)B(t+1) 10-3

294 5. P 10-4 10-4 6. P 10-5 ( a ) Q d 1 d 0 (b) 10-5 7. P 10-6 S 1 S 0 X 10-6 8. P 10-7 A 1 A 0 X 10-7

10 295 9. 4 1 (a) A 3 A 2 A 1 A 0 (b) D 3 D 2 D 1 D 0 A 3 A 2 A 1 A 0 D (c) A 3 A 2 A 1 A 0 F F (d) P L A 10. P 10-8 (a) (b) 10-8 11. P 10-9 (a) (b) 10-9 12. P 10-10 (a) (b) 10-10

296 13. P 10-11 10-11 14. P 1 0-1 2 X/Y / d F P 10-12 (a) (b) (c) d= 0 d= 0 d= 0 d= 1 0 001 10-12

11 11.1 U n i x M i c r o s o f t Wi n d o w s R C A D 11.1.1 11-1 1. CD ROM 2. / I / O

298 11-1 3. 4. 5. C P U 11-1 c a c h e 11.1.2 C P U N O T X N O R

11 299 11.1.3 11-2 0 1 2 3 4 5 0 1 2 11-2 0 1 2... C P U I R 1. Instruction Fetch C P U 2. Instruction Decode C P U 3. Instruction Execute

300 4. S t o r a g e t I n s t =t I F +t I D +t E X +t S ( 11-1 ) t I F = t I D = t E X = t s = t I n s t ø t f t I n s t C P U t I n s t 0. 1µs 10 M I P S 11.1.4 P a s c a l C 0 1 n n 2 16 32 64 1µs=10 6 s

11 301 11-3 a d d s u b f=x+y g=w z if(f==g)then result=2*x else result=6*w add R3, R2, R5 sub R7, R18, R7 beq R3, R7, 0x60a5... 11-3 11.1.5 9 11-4 11-4 a 32 3 11-4 b d 31 d 0 32 32 11-4 c 32 / 32 32 b i t 11-4 d

302 a) b) 32 c) d) 11-4 11.2 C P U C P U C P U 11.2.1 11-5 Inst 0 Inst 1 32 8 32 4 Inst 0 0400 0 11 0 1100 11111010 11110000 1111 0 0 0 0 Inst 1 0404 11000101 10110101 00001111 1111 0 0 0 0 R I S C

11 303 0 1 2 3 4 5 6 7 8 11-5 11-6 I R P C (PC) (IR) 11-6 IF Inst 0 0400 P C I R Inst 1 P C=P C+X P C X= 4 P C= 0 4 0 0 + 4 Inst 1 I R I R I R 11.2.2 11-7 C P U

304 ALU 11-7 I R 11-8 register file A L U l o c a l m e m o r y a) MUX b) DeMUX 11-8 MUX DeMUX A B

11 305 A L U N O T O R A L U A L U c a c h e C P U C P U C P U 11.2.3 C P U ALU 11-9 x y A L U A B A L U R A,B =z A L U C P ( 11-2 ) 11-9 L o a d A L U load word l w 11-10

306 A L U A A d d = S t o r e 11-10 s w 11-11 A A L U x A= x = 11-11 11.3 11.3.1 A L U

11 307 11-12 R 0 R 31 3 2 32 b i t 5 b i t d 4 d 3 d 2 d 1 d 0 A B 32 b i t A s 4 s 3 s 2 s 1 s 0 t 4 t 3 t 2 t 1 t 0 B ( ) 32 32 ( ) 32 11-12 11-13 32 b i t 32 b i t A B 32 b i t 32 1 M U X R 0 M U X 0 R 1 M U X 1 5 M U X s 4 s 3 s 2 s 1 s 0 t 4 t 3 t 2 t 1 t 0 A R2 B R2 8 A B 32 d 4 d 3 d 2 d 1 d 0 1 3 2 d 4 d 3 d 2 d 1 d 0 d 4 d 3 d 2 d 1 d 0 = 0 0 0 0 1

308 R 1 d 4 d 3 d 2 d 1 d 0 = 0 0 0 1 0 R2 ALU 1 3 2 11-13 11-14 ALU 1 3 2 11-14

11 309 0 b 0 b 1 b 31 ø 32 11.3.2 A L U A D D S U B N O T N A N D X O R A L U 11-15 A B R A B R 32 b i t A L U F F f i A L U F 11-15 ALU ( ) ( 11-3 ) A B F F 0 F 1 F 2 F R a ( 11-4 ) A L U R a R b R c A L U F 3 ( 11-5 ) R a R h 8 R ( 11-6 )

310 f 2 f 1 f 0 8 1M U X R a R h f 2 f 1 f 0 11-16 A L U M U X R a R h A L U A B R 32 Rα 32 ( 11-7 ) A L U 11-17 a A L U 3 2 11-16 n n 0 3 1 11-17 b 32 n 32 32 A L U ALU n a) 32 ALU b) 11-17 ALU A L U 11-18 a n b n r a r b f 2 f 1 f 0 r n A L U 11-19 a A L U A N D O R X N O R N O T M U X M U X 11-19 b A L U A L U a n b n a n b n

11 311 + /- / s n A L U f 2 f 1 f 0 = 000 11-18 a) b) 11-19 ALU M U X 0 r n r n = a n +b n M U X 1

312 r n = a n b n / S A L U n (n 1 ) n j / j 1 / 11.3.3 C P U L 1 L 1 / L1 cache ( ) ( ) c a c h e 11-20 11-20 L1 cache c a c h e 12 c a c h e / 11-21 / R / W / 11-21 11.4 A L U C P U ALU cache a A L U b A D D

11 313 A L U A L R X RY R Z 11-22 A L U A B R A L U 11-22 A B A L U add RZ R X RY # R X RY R Z # sub R12 R 7 R8 #R7-R8 R 1 2 R 7 R 8 R 12 and RC R 1 R2 or R4 R 2 2 R1 #AND R 1, R 2 R C #OR R 22, R 1 R 4 C 32 b i t X= 1111 0000 1111 0000 1111 0000 1111 0000 Y= 1010 1010 1010 1010 1010 1010 1010 1010 A N D X,Y = 1010 0000 1010 0000 1010 0000 1010 0000 O R X,Y = 1111 1010 1111 1010 1111 1010 1111 1010 32 A L U A L U

314 R X 11-23 A L U A R X sw RX ADDRESS # R X A D D R E S S 11-23 R 4 0 x 2 A F 529 B 1 0 x 4385 sw R4 0 x 4 3 8 5 0 x 2 A F 529 B 1 R X lw RX ADDRESS # A D D R E S S R X 11-24 A L U R X c 0 c 1 11-24

11 315 11-25 A L U f 2 f 1 f 0 11. 3. 2 M U X c 0 c 1 A L U f 2 f 1 f 0 A L U A c 0 c 0 = 0 A s w l w c 0 = 1 A c 1 A L U c 1 = 0 A L U c 1 = 1 A L U s w l w 32 R 0 R 31 5 b i t xor R2 R 4 R19 #XOR R 4, R 19 R 2 3 5 = 15 lw R3 0 x 5 A 2 8 11-25 5 R 3 s 4 s 3 s 2 s 1 s 0 t 4 t 3 t 2 t 1 t 0 d 4 d 3 d 2 d 1 d 0 l w s

316 R 5 0 x 6 A 4 sw R5 0x6A45 # R 5 0 x 6 A 4 addressing mode R 30 4500 [R30] = 4500 4500 4500 4 504 4508 4 512 11-26 11-26 B A L U c 2 c 2 = 0 A L U B c 2 = 1 A L U B sw R2 4 R 30 # R 2 [ R 30 ] + 4 = M [ R 30 + 4 ] M [ R 30 + 4 ] R 30 4 R 30 A L U A c 2 = 0 4 A L U B A L U [ R 30 ] + 4 = 4504 R 2 11-27 R 3 R 4 R 5 8 b i t 4 B y t e 32 b i t 4

11 317 11-27 sw R2 4 R30 4508 4 512 4 516 lw RX A RY # M [ RY + A ] R X RY + A R X A L U 11-28 S H R S H L shl R14 R 8 3 #R8 3 R 14 shl RD RT SHIFT # RT S H I T F R D 11-28

318 shr RD RT SHIFT # RT S H I F T R D A L U 11.5 I R 11-29 a 11-29 b 32 1 0 a) b) 11-29 IR instruction format 32 R s w l w I R 11-30 a 32 11-30 b a) b) 11-30 R M I P S R I R I S C

11 319 and RD R S RT #AND R S RT R D R 32 R 0 R 31 5 R S RT R D A N D 6 O P C O D E 6 F U N C T A L U f 2 f 1 f 0 c 0 c 1 c 2 R 5 S H I F T 11-31 I 16-31 R sw RT 4 8 R S # [ RT ] M [ R S + 4 8 ] lw RT 1 0 0 R S # M [ R S + 1 0 0 ] RT R S RT I 16 15-0 I M M E D I AT E 48 1 00 11-31 I R a) R b) I 11-32 R I

320 A L U I R R I 11-32 a 6 O P C O D E i 5 i 0 R S RT R D 5 11-12 S H I F T F U N C 6 O P C O D E A L U f 2 f 1 f 0 I 11-32 b 16 R 15 0 11-33 R I 16 R 11-33 11-34 I R R S R D RT A L U O P C O D E S H I F T F U N C T c 3 R R D I R D RT O P C O D E OPCODE R F U N C T O P C O D E F U N C T O P C O D E M I P S OPCODE = 000000 => OPCODE = 100011 => l w OPCODE = 101011 => s w Immediate/address R O P C O D E F U N C T

11 321 M I P S OPCODE = 000000 FUNCT = 100000 =>ADD OPCODE = 000000 FUNCT = 100010 =>SUB 32 b i t 11-34 11.6 CISC R I S C C I S C 20 70 C I S C R I S C R I S C R I S C C I S

322 11.6.1 CISC C I S C get [RX] # R X input [RX] ALU # [ R X ] A L U l w 11-35 R O M l w 11-36 I R lw RY I m m e d i a t e R X = > R X [ R X ] A L U I R A L U = R X + RY 11-35 ROM lw 11-36

11 323 R O M t m i c ro a d d l w C I S C 11.6.2 RISC R I S C C I S C 80 / 20 C I S C 80 / 20 8 0 % 20 % 500 80 % 100 R I S C R I S C C I S C R I S C 11-37 R I S C R I S C 11-37 RISC A L U C P U

324 C I S C R I S 11-38 I F I R I D 11-38 a C I S C A L U I D add M[AD] M [ A 1 ] M[A2] #M[A1]+M[A2] M [ A D ] A 1 A 2 A D add RD M [ A 1 ] M[A2] #M[A1]+M[A2] R D R D a) CISC 11-38 CISC RISC 11-38 b R I S C A L U C I S C A L U R I S C R I S C 11.6.3 b) RISC R I S C

11 325 V L S I M I P S Power PC R I S C P e n t i u m AMD K6 R I S C C I S C C I S 11.7 ( 11-8 ) ( 11-9 ) 1. 5 ( 11-1 0 ) s i g n i f i c a n d e x p o n e n t 2 b i a s S S =0 S =1 IEEE 754 F P S 32 64 F P S 10 X = 4. 625 4 10 = 100 2 0. 625 10 = 0. 101 2 X= 1 0 0. 1 0 1 2 ( 11-11 ) 2 2 100.101 = 1.00 101 2 2 ( 11-1 2 ) 10 F P S 32 11-39 8 23 S 1 2 7 11-39 2 I E E E

326 11-1 10 a = 12.15 10 ( 11-1 3 ) 0. 15 10 1001 0. 15 10 = 00 1001 1001 1001... 1001 23 S=1 1000 1001 1001 1001 1001 10 ( 11-1 4 ) 127 ( 11-1 5 ) a F P S 0 1000 0010 11000 1001 1001 1001 1001 10 64 11-40 11 256 52 F P S 1 ( ) 2 ( ) 32b 11-40 11.7.1 10 X+Y 10

11 327 2 m m 11.7.2 A L U 11-41 I R F P U ALU FPU V L S I 11-41 11.8 V L S I C P U L1 cache V L S I 7 V L S I C A D V L S I

328 11-42 V L S I A L U 11-43 / a n b n M U X r n A L U 11-44 32 32 32 11-42 11-43 ALU 11-45 / 11-44 ALU

11 329 V L S I 200 2 3 I/O 11-45 11.9 1. 2. 3. (a) 16 1 6 (b) 16 3 2 b i t (c) 8 3 2 b i t 4. 8 8 b i t 11-13 M U X 5. 11-19 A L U S a n =1 b n = 1 r n (a) f 2 f 1 f 0 = 010 (b) f 2 f 1 f 0 = 101 (c) f 2 f 1 f 0 = 11 0

330 (d) f 2 f 1 f 0 = 011 6. 11-19 A L U S a n = 1 b n = 1 r n (a) f 2 f 1 f 0 = 111 (b) f 2 f 1 f 0 = 0 0 0 (c) f 2 f 1 f 0 = 1 0 0 (d) f 2 f 1 f 0 = 0 0 1 7. 2 A L U A D D N O T A N D O R 2 f 1 f 0 (a) 11-19 A L U (b) A L U S U B S U B a 8. 8 4 9. 16 16 10. X = 1001 Y = 1011 ( a ) (b) (c) (d) 11. 8 10 A= 156 10 B =7 3 10 10 (a) (b) (c) (d) A (e) B 12. P 11-1 10 (a) R 2 add R2 R 4 R 5 (b) R 6 add R5 R 6 R 1 add R6 R 2 R 7 (c) R 4 10 add R4 R 2 R 6 13. P 11-1 10 f s

11 331 sub R1 R 3 R 6 add R2 R 6 R 7 or R3 R 5 R 6 add R4 R 3 R 1 P11-1 14. P 11-1 R 4 R 5 add R3 R 4 R 6 shl R4 R 3 3 shr R5 R 3 3 15. P 11-1 A L U A L 11-19 A L U f 2 f 1 f 0 d 2 d 1 d 0 s 2 s 1 s 0 t 2 t 1 t 0 (a) and R3 R 5 R 7 (b) sub R6 R 1 R 4 (c) xnor R4 R 2 R 0 16. 11-26 R I S C R I S C add R3 R 2 8 R 3 2 sw R2 4 R 2 7 add R4 M [ R 6 ] 0 x 3 4 lw R17 M [ R 2 1 ] 17. C I S C R I S C 18. 23. 625 19. 1 6. 8 7 5 20. 1. 0 0 1 0 11 2 3 10 21. IEEE FPS 0 1000 0011 10000 1001 1001 1001 10

332 22. 32 C P U F P U 23. V L S I 7 24. 1 CMOS VLSI 1. 8µm 1. 2µm (a) 32 (b) 32 3 2 b i t (c) α= 1. 9 7 32 3 b i t 25. World Wide We b U R L h t t p :// w w w. c o m p a n y _ n a m e. c o m c o m p a n y _ n a m e company_name = intel I n t e l

12 12.1 C P U T x X T y Y T x >T y Y P X Y Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2

334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n s t = t I F + t I D + t E X E + t M E M + t W B ( 1 2-3 ) C P U T 1 T 1 t i ( 12-4 ) n s t n n T 1 1 2-2 a C P U I F I D E X E M E M W B a) 1 2 b) 12-1

12 335 a ) 12-2 φ 12-2 b T T 1 T = max{t I F t I D t E X E t M E M t W B } ( 1 2-5 ) 5T T 1 b) 12-3 1 2-3 C C 1 C C 2 C C 1 C C 2 C C 3 C C 4 I F I D E X E M E M 12-4 A D D I n s t 1 I n s t 2 I n s t 3 I F 12-5 C C 1 I n s t 1 I F C C 2 I n s t 1 I D

336 I n s t 2 I F C C 3 I n s t 1 E X E I n s t 2 I D I n s t 3 I F C C 5 I n s t 1 C C 6 I n s t 1 I n s t 6 8 8. 5 12-4 Inst1 Inst2 12-5 I n s t 1 5T 12-5 I n s t 2 6T I n s t 3 7T 5T

12 337 N t 1 = N 5T = 5N T ( 1 2-6 ) t p i p e = 5T + (N- 1 )T = (N+ 4 )T ( 1 2-7 ) 5T N- 1 T N- 1 N= 1000 t 1 = 5 0 0 0T t p i p e = 1 0 0 4T ( 1 2-8 ) 5 T 1 2-6 a E X E 1 E X E 2 M E M 1 M E M 2 T D T D <T 12-6 b T D 7 T D < 5T ( 1 2-9 ) T = T D a) b) 12-6

338 12.2.1 I n s t 2 I n s t 1 M E M W B add R1 R 8 R 9 # R 8 + R 9 R 1 sub R2 R 1 R 6 #R1- R6 R 2 R 8 R 9 R 1 R 1 R 6 R 2 S U B A D D 12-7 a) 1 2-7 a A D D E X E 12-7 b S U B R 1 R 1 - R 6 R 1 W B 12-7 c S U B SUB R1 R 1 b) 1 2-8 12-8 a A D D S U B 12-8 b S U B A D D R 2 = R 1 - R 6 = 12-4 = 8 S U B A D D W B R 2 = R 8 + R 9 - R6=30-4 = 2 6 c) ADD A D D R 1 12-7 a) b) SUB c) ADD WB 12-8 S U B R 1 A D D 12-9 N O P 12-9 A D D S U B

12 339 A D D W B S U B S U B E X E ADD SUB SUB 12-9 12.2.2 N O P 12-10 I F I D E X E M E M W B M E M W 12-10 12.3

340 P C 6 4 M B C M Price = M C (12-10) C t a c c / R A M R A M S R A M S R A 4 6 R A M D R A MD R A M S R A M D R A S R A M t a c c D R A M D R A M S I M M D I M M 12-11 D R A M C P U C a c h e S R A M C a c h e K B C a c h e C P U C a c h e C P U 12-12 C a c h e (CPU) 12-11

12 341 (CPU) Cache memory (fast) ) 12-12 1 2-13 C a c h e C P U l w s w C a c h e C a c h e C a c h e C P U C a c h e C a c h 1 2-13 b C a c h e C a c h e = / 100 % 1 2-11 CPU Cache a) CPU Cache b) 12-13 Cache C a c h e C a c h

342 C a c h e C P U C a c h e C P C a c h e 12-14 a C P U C a c h e 12-14 b C a c h e C a c h C a c h e C a c h e 12-15 C a c h e L 1 L1 Cache C a c h e L 2 L1 Cache L 1 L2 Cache L1 Cache L2 L 1 C a c h e L 1 CPU Cache a) C a c h e CPU Cache b) Cache 12-14 Cache ( ) ( ) 12-15 Cache

12 343 V L S I C a c h e L1 Cache C a c h e WL( ) C a c h e C a c h e V L S I S R A M C a c h e C P U C a c h e CMOS SRAM 12-16 6 M O S 8 6 8 = 48 32 12-16 CMOS SRAM 4 4 4 8 = 192 M O S 1024 3 2 1 K C a c h e 1024*192=196 608 C a c h e C a c h C a c h e L 1 V L S I 1 2-7 I n t e l Pro CPU P G A 12-17 Intel Pro