《微机接口技术》总复习题.doc

Size: px
Start display at page:

Download "《微机接口技术》总复习题.doc"

Transcription

1 计算机接口技术 复习题 第 1 部分概述 本章知识 : 1 微机接口应具备哪些功能? 功能 : 信号转换 提供信号转换的应答信号 外部设备的寻址功能 数据缓冲功能 中断请求功能 可 编程功能 实现对设备的不同操作 2CPU 能直接与外设进行信息交换吗? 为什么? 3 计算机与接口连接的三类数据总线是什么? 哪些是单向传输, 哪些是双向传输的? DB AB CB 4 常见的接口芯片的功能 / ADC0809 的作用分别是 什么? 5 计算机对外设的编址有几种编址方法? 各有何特点? 6 数据输入 / 输出的三种基本方式是 和 7 在查询输入 / 输出方式下, 外设必须至少有两个端口, 一个是 端口, 另一个是 端口 8 按总线在微机结构中所处的位置, 总线可分为 和 PCI 总线时钟为 33MHz, 总线数据宽度为 32 位, 并可扩展为 64 位, 具有高速的数据通道, 是一种局部总线 AGP 总线的时钟为 66MHz, 传输速率也比 PCI 快, 为 264 MB/s 目前,AGP 总线时钟发展到 4X66MHZ 第 2 部分 CPU 原理 总线与接口标准 本章知识 : 1 什么是总线? 什么是接口标准? 有什么区别? 2CPU 总线 系统总线 外部总线的概念 3PCI ISA USB IEEE1394 RS232 等总线接口分别属于什么总线? 4 总线的主要技术指标的计算 5ISA 总线 EISA 总线 PCI 总线 AGP 总线 USB 总线 IEEE1394 等总线的速率 宽度 主频等性能参数 6PC/XT AT 386 Pentiun PII PIII 等总线结构 CPU 基本原理 88088/8086CPU 中的寄存器的功能 练习 : 一 填空题 1 对 I/O 端口的编址一般有 方式和 方式 PC 机采用的是 方式 2 在 PC 系列微机中,I/O 指令采用直接寻址方式的 I/O 端口有 个 采用 DX 间接寻址方式可寻址 的 I/O 端口有 个 3 一个 称为一个端口,8086 共有 个端口 4 接口的基本功能是 和 5 数据的输入 / 输出指的是 CPU 与 进行数据交换 6 数据输入 / 输出的 4 种方式是 和 7CPU 在执行 OUT DX,AL 指令时, 寄存器的内容送到地址总线上, 寄存器的内容送到数 据总线上 8 当 CPU 执行 IN AL,DX 指令时,M/ IO 引脚为电平, RD 为, WR 为

2 二 选择题 1PC 机的串行通信接口 COM1 地址为 ( ) A3F8H-3FFH B2F8H-2FFH C 378H-37FH D20H-21H 2 一个端口可有 ( ) 个 I/O 地址 A1 B2 C256 D 不一定 3 查询输入 / 输出方式下, 外设状态线要经过 ( ) 与微机相连 A 锁存器 B 译码器 C 缓冲器 D 放大器 4PC/XT 总线的地址信号和数据信号是 ( ) 的 A 分时复用 B 分开传送 C 混杂一起 D 不需地址 非屏蔽中断的类型码是 ( ) A00H B02H C08H D 不定 68086CPU 工作在 DMA 请求方式时, 会让出 ( ) A 地址总线 B 数据总线 C 地址和数据总线 D 地址 数据和控制总线 78086CPU 的 I/O 地址空间为 ( ) 字节 A64KB B1MB C256B D1024B 8CPU 在执行 OUT DX,AL 指令时,( ) 寄存器的内容送到地址总线上 AAL BDX CAX DDL 9 数据的输入输出指的是 ( ) 进行数据交换 ACPU 与存储器 BCPU 与外设 C 存储器与外设 D 内存与外存 10 在给接口编址的过程中, 如果有 5 根地址线没有参加译码, 则可能产生 ( ) 个重叠地址 A52 B5 C25 D 在执行 IN AL,DX 指令时,AL 寄存器的内容输出到 ( ) 上 A 地址总线 B 数据总线 C 存储器 D 寄存器 12 在中断输入 / 输出方式下, 外设的 ( ) 线可用于向 CPU 发送中断请求信号 A 地址 B 状态 C 数据 D 其他 13CPU 在执行 OUT DX,AL 指令时,( ) 寄存器的内容送到数据总线上 AAL BDX CAX DDL 14 查询输入 / 输出方式需要外设提供 ( ) 信号, 只有其有效时, 才能进行数据的输入和输出 A 控制 B 地址 C 状态 D 数据 15 地址译码器的输入端应接在 ( ) 总线上 A 地址 B 数据 C 控制 D 以上都对 16 地址译码器的输出一般可为接口的 ( ) 信号 A 片选 B 数据输入 C 地址 D 控制 17PCI 总线的数据宽度为 ( ) 位 A8 B16 C32 D64 18ISA 总线的数据宽度为 ( ) 位 A64 B32 C16 D8 19 总线的宽度用数据总线的条数表示, 其单位是 ( ) A 位 B 字节 C 字 D 双字 20 总线的宽度用 ( ) 总线的条数表示 A 地址 B 数据 C 控制 D 以上所有 21 总线的标准传输率是总线上 ( ) A 每秒传输的最大字节量 B 每秒传输的最大位数

3 C 每秒传输的最大信息量 D 每秒传输的数据量 22 总线的标准传输率用 ( ) 表示 AMb/s BMB/s CKb/s DKB/s 三 判断题 1 位于主机与外设间的电路统称为人机接口 2 微机在中断输入 / 输出方式下的数据输入 / 输出是由中断控制器 8259A 来控制的 3CPU 与存储器间及 I/O 间的连线被称为总线 4 采用中断方式进行数据传送时必须在主程序中事先保护现场, 否则会引起系统混乱 四 简答题 1 试说明 8086/8088CPU 中有哪些寄存器? 各有哪些用途? 答 : 寄存器组有 (1) 数据寄存器, 含 AX BX CX DX 四个通用寄存器, 用来暂时存放计算过程中所遇到的操作数, 结果和其它信息 (2) 指针及变址寄存器, 含 SP BP SI DI 四个十六位寄存器, 它们可以像寄存器一样在运算过程中存放操作数只能以字为单位使用 还用来在段内寻址时提供偏移地址 (3) 段寄存器, 含 CS DS SS ES, 用来专门存放段地址 (4) 控制寄存器, 包括 IP 和 PSW 两个 16 为寄存器 IP 是指令指针寄存器, 用来存放代码段中的偏移地址 PSW 为程序状态字寄存器, 由条件码标志和控制标志构成 条件码标志用来纪录程序运行结果的状态信息 包括 OF SF ZF CF AF PF 控制标志位有三个寄存器 DF IF TF 组成 2 是说明 8086/8088CPU 中标志位寄存器中各标志位的意义? 答 :OF 溢出标志, 在运算过程中, 如操作数超出了机器能表示的范围则置 1, 否则置 0 SF 符号标志, 运算结果为负时置 1, 否则置 0 ZF 零标志, 运算结果为 0 置 1, 否则置 0 CF 进位标志, 记录运算是最高有效位产生的进位 AF 辅助进位标志, 记录第三位的进位情况 PF 奇偶标志位, 用来为机器中传送信息时可能产生的出错情况提供检验条件, 当结果操作数中的 1 的个数为偶时置 1 DF 方向标志位, 在串处理指令中控制处理信息的方向 当 DF=1 时, 每次操作后变址寄存器减量, 这样就使串处理从高地址向低地址方向处理 IF 中断标志, 当 IF=1 时, 允许中断, 否则间断中断 TF 陷阱标志, 用于单步操作方式, 当 TF 为 1 时, 每条指令执行完后产生陷阱, 由系统控制计算机 当 TF 为 0 时,CPU 正常工作不产生陷阱 3 3 哪些操作只能隐含使用某个段寄存器, 而不能用其它段寄存器代替? 哪些操作出隐含使用某个段寄存器外, 还可以使用其它段寄存器? 答 : 计算程序的地址隐含使用 CS, 正在执行的程序堆栈隐含使用 SS, 而数据的地址隐含使用 ES 和 DS /8088 系列微机在存储器中寻找存储单元时, 逻辑地址由哪两个部分组成的? 答 : 由段地址和偏移地址两部分构成 5 设 IBM PC 微机内存中某个单元的物理地址是 12345H, 试完成下列不同的逻辑地址表示 : 1234H: H H:0345H 答 :(1)1234H:05H (2) 1200H:0345H 6 假设某程序执行过程中,(SS)=0950H,(SP)=64H, 试问该用户程序的堆栈底部物理地址是多少? 答 :(SS)*10H+(SP)=09564H 7 设堆栈段寄存器 (SS)=0E4BH, 程序中设堆栈长度为 200H 个字节 试计算出堆栈底部字单元物理地址, 堆栈指针 SP 初始值 ( 即堆栈中没有数据时 ) 和 SP 初始值指向的物理地址 答 : 物理地址为 :3E4B0H, SP 的初始值为 200H, 指向的物理地址为 :3E6B1H 8 设某用户程序 (SS)=0925H,SP=30H,(AX)=1234H,(DX)=5678H, 问堆栈的地址范围是多少? 如现有两条进展指令 : PUSH AX PUSH DS

4 试问两指令执行后,(SP)=? 答 : 寻址范围 :09250H~09280H,SP 减 4 为 2CH 98086CPU 与 8088CPU 由哪些相同之处? 又有哪些区别? 答 : 他们内结构基本相同, 不同之处仅在于 8088 有 8 条外部数据总线, 因此为准 16 位 8088 有 16 条外部数据总线, 两个 CPU 的软件完全兼容, 程序的编制也完全相同 CPU 从功能上分为几部分? 各部分由什么组成? 各部分的功能是什么? 答 :8086CPU 从功能上分外两大部分, 一是执行部件 (EU), 二是总线接口部件 (BIU) 执行部件是由以下四部分组成 :(1)4 个通用寄存器 AX,BX,CX,DX (2)4 个专用寄存器 BP,SP,XI, DI (3) 标志寄存器 FR (4) 算术逻辑部件 ALU 功能是负责执行所以的指令, 向总线接口部件 (BIU) 提供指令执行的结果数据和地址, 并对通用寄存器和标志寄存器进行管理 总线接口部件 (BIU) 由以下部件组成 :(1) 四个段寄存器, 代码段寄存器, 数据段寄存器, 附加段寄存器, 堆栈段寄存器 (2) 指令指针寄存器 (3) 地址加法器 (4) 指令领队列 功能 : 执行外部总线周期, 负责存储器与 I/O 端口传送数据 也就是负责 CPU 与存储器和外设之间的信息交换 系统中的物理地址是如何的得到的? 假如 CS=2000H,IP=2100H, 其物理地址是多少? 答 :8086 系统的物理地址是将段地址乘 10H, 加上偏移地址 2000H*10H+2100H=22100H ( 物理地址 ) 13 什么叫总线周期? 一个总线周期包括多少时钟周期, 什么情况下要插入 TW 等待周期? 插入多少个 TW 取决于什么因素? 答 :CPU 把总线接口部件 BIU 完成一次访问存储器或外设操作所需要的时间称为一个总线周期, 它包括了四个时钟周期 当访问存储器或外设时, 存储器或外设不能及时配合 CPU 传输数据时, 存储器或外设通过 READY 信号在 T3 之前向 CPU 发出一个 数据未准备好 信号,CPU 会在 T3 之前插入一个或多个等待时间周期 当存储器或外设准备好数据, 通过 READY 发 准备好 信号,CPU 接受此信号后, 会自动脱离 TW 状态进入 T4 状态 因此, 插入多少个 TW 由 READY 信号决定 14 什么是最大模式? 什么是最小模式? 用什么方法将 8086/8088 置于最大模式和最小模式? 答 : 最小模式, 即系统中只有一个微处理器, 所有的总线控制信号都直接由 8086/8088, 因此, 系统总线控制电路被减到最小 最大模式, 即系统里包括两个或多个微处理器, 主处理器就是 8086/8088, 其它均为协助主处理器工作的协处理器 它主要用于中等规模或大型的 8086/8088 系统中 将 8086/8088 的第 33 脚 MX/MN 接地时, 系统处于最大模式, 接 +5V 时, 为最小模式 15 什么是地址锁存器?8086/8088 系统中为什么要用地址锁存器? 锁存的是什么信息? 答 : 地址锁存器就是一个暂存器, 它根据控制信号的状态, 将总线上地址代码暂存起来 8086/8088 数据和地址总线采用分时复用操作方法, 即用同一总线既传输数据又传输地址 当微处理器与存储器交换信号时, 首先由 CPU 发出存储器地址, 同时发出允许锁存信号 ALE 给锁存器, 当锁存器接到该信号后将地址 / 数据总线上的地址锁存在总线上, 随后才能传输数据 /8088 系统中的 8286 是什么器件? 起什么作用? 答 :8286 为总线驱动器 ( 收发器, 双向数据缓冲器 ), 当一个系统中所含外设接口较多时, 用来增强数据总线的驱动能力 /8088 系统用的时钟发生器产生哪些信号? 答 : 时钟发生器 8284A 产生恒定的时钟信号 (CLK), 复位信号 (RESET), 准备就绪信号 (READY) /8088 的执行部件 EU 由多少个通用寄存器, 多少个专用寄存器, 几个标志寄存器和什么组成? 答 : 执行部件由以下几部分组成 :1 四个通用寄存器 AX BX CX DX;2 四个专用寄存器, 即基数指针寄存器 BP, 堆栈指针寄存器 SP, 源变址寄存器 SI, 目的变址寄存器 DI;3 一个标志寄存器 FR;4 算术逻辑部件 ALU /8088 的指令队列长度分别为多少个字节? 答 :8086 的指针队列为 6 个字节,8088 的指针队列有 4 个字节 与 8088 的 16 位寄存器中, 有多少个寄存器可拆分为 8 位寄存器使用 它们分别是什么? 它们又被统称为什么?

5 答 : 在 8086 与 8088 的 16 位寄存器中, 有四个寄存器可拆分为八位寄存器使用, 它们分别是 AX,BX, CX,DX 统称为通用寄存器 21 CPU 从主存取出一条指令并执行该指令的时间称 ( ), 它通常用若干个 ( ) 来表示, 而后者又包括若干个 ( ),( ) 又称总结周期 1 指令周期 2 机器周期 3 时钟周期答 : 计算机时钟脉冲的频率称为什么?, 其倒数又称为什么? 答 : 计算机的时钟脉冲频率称为时钟频率, 即主频 其倒数为时钟周期 22 计算机主频为 8MHz, 每个机器周期平均含两个时钟周期, 每条指令平均有 25 个机器周期, 则该机器的平均指令执行速度为多少 MIPS? 答 : 该机器执行一条指令所用时间为 :1/8*10-6 *2*25=5/8*10-6 t; 平均指令执行速度为 : 1/ (5/8)=16MIPS /8088 的存储器可以寻址 1MB 的空间, 在对 I/O 进行读写操作时,20 位地址中只有哪些位是有效的? 这样,I/O 地址的寻址空间为多大? 答 : 在对 I/O 进行读写操作时,20 位地址中只有 A0-A15 有效,I/O 地址的寻址空间为 2 16 B 25 指令队列的作用是什么? 答 : 指令队列存放内存中取下的将被执行的下一条或下几条指令, 使 CPU 执行完一条指令就可立即执行下一条, 提高 CPU 的效率 CPU 可访问的存储空间为 1MB, 实际上分为奇数存储体和偶数存储体两部分, 对奇数存储体的选择 信号是什么, 对偶数存储体的选择信号是什么, 对每个存储体单元的选择信号是什么? 答 : 奇数存储体的选择信号为 BHE, 偶数存储体的选择信号为 A0 对每个存储体内存储单元的选择信号是 READY 有两种工作方式, 即最小模式和最大模式, 它由什么信号决定? 最小模式的特点是什么? 最大模式的特点是什么? 答 :8086 的两种工作模式由 MN / MAX 信号决定 当接入 +5V 时, 系统处于最小模式, 只有一个微处理器, 总线控制逻辑部件被减到最小 当接地时, 系统处于最大模式, 实现多处理器控制系统, 主要应用于大中型系统 28 当 M / IO =0, RD =0, WR =1 时,CPU 完成的操作是什么? 答 :CPU 完成一个对 I/O 设备端口的读操作 与 8086 在软件上是否完全兼容? 答 :8086/8088 内部都采用 16 位字进行操作及存储器寻址 因此两者软件完全兼容 30PCI ISA EISA VESA 总线的宽度 速率分别是多少? 31 试列举出 4 种以上的总线, 并写出其基本参数特性 第 3 部分定时器 / 计数器本章知识 : 1 定时器的 GATE OUT 和 CLK 信号的作用是什么 2 计数器中的寄存器 CR/CE/OL 的作用 3 计数初值的计算 的 6 种工作方式的特点比较 组成的电路的编程 练习 : 一 单项选择题 有 B 个独立的计数器

6 A)2 B)3 C)4 D)6 2 当写入计数初值相同,8254 的方式 0 和方式 1 不同之处为 A) 输出波形不同 B) 门控信号方式 0 为低电平而方式 1 为高电平 C) 方式 0 为写入后即触发而方式 1 为 GATE 的上升边触发 D) 输出信号周期相同但一个为高电平一个为低电平 3 如果计数初值 N=9,8254 工作在方式 3, 则高电平的周期为个 CLK A)5 B)6 C)3 D) 的控制信号为 CS =0 RD =0 WR =1 A1=0 A0=0 表示 A) 读计数器 1 B) 读计数器 0 C) 装入计数器 1 D) 装入计数器 0 5 与 8254 工作方式 4 输出波形 相同的是 A) 方式 1 B) 方式 3 C) 方式 2 D) 方式 5 6 若 8254 的一个计数器工作在方式 0, 另外的计数器可以工作于方式 ( D) A) 方式 0 B) 方式 1 C) 方式 2 D) 任一种方式 7 若 n 是计数器的初值, 则 8254 在哪种工作方式下能产生 ( 输出 ) 宽度是 n 个时钟脉冲周期的负脉冲 (B) A) 方式 0 B) 方式 1 C) 方式 2 D) 方式 计数器的最大初值是 (C) A)10000H B)FFFFH C)0000H D) 定时器计数器的计数基于 (A) 原理 A) 减 1 计数 B) 加 1 计数 C) 定时减 1, 计数加 1 计数 D) 定时加 1, 计数减 1 计数 工作于方式 3, 计数初值是 N,OUT 端输出的方波重复周期是 (D) 之和 A)N+1 个 CLK 周期 B)N-1 个 CLK 周期 C)N/2 个 CLK 周期 D)N 个 CLK 周期 三 填空题 1 实时定时和计数有 硬件定时 和软件定时两种实现方法 2 硬件定时分为 不可编程和可编程两种方法 每个通道都有 种工作方式, 其中方式 可产生方波 有 个 IO 地址, 分别用来访问 某个计数器工作在方式 1, 若输入时钟 CLK 为 5M, 计数初值 N=1000, 则 CE=0 时,OUT 输出负脉冲 的宽度是 02ms 工作于方式 3, 输入时钟 CLK 为 1M, 要求 OUT 输出频率是 20000HZ, 则写入的初值是 50 四 判断 的 6 种工作方式均可用软件方式启动计数器 进行初始化后, 一旦写入计数初值后, 计数器就开始计数 工作时, 每当 CLK 端输入信号后,CE 就减 进行计数的最小单位是 初始化进, 写入计数初值一定要在写入控制字之后 五 应用题 1 某系统利用 定时器 / 计数器通道产生 1KHZ 重复方波, 问通道 0 应工作在什么工作方式? 若 CLK0=2MHZ, 试写出通道 0 的初始化程序 设 端口地址为 2F0H 2F2H 2F4H 2F6H

7 2 3 设 8254 的计数器 0, 工作在方式 1, 计数初值为 2050H; 计数器 1, 工作在方式 2, 计数初值为 3000H; 计数器 2, 工作在方式 3, 计数初值为 1000H 如果三个计数器的 GATE 都接高电平, 三个计数器的 CLK 都接 2MHz 时钟信号, 试画出 OUT0 OUT1 OUT2 的输出波形 4 设 8253 三个计数器的端口地址为 201H 202H 203H, 控制寄存器端口地址 200H 试编写程序片段, 读出计数器 2 的内容, 并把读出的数据装入寄存器 AX 答 : MOV AL,80H OUT 200H,AL IN AL,203H MOV BL,AL IN AL,203H, MOV BH,AL MOV AX,BX 5 设 8253 三个计数器的端口地址为 201H 202H 203H, 控制寄存器端口地址 200H 输入时钟为 2MHz, 让 1 号通道周期性的发出脉冲, 其脉冲周期为 1ms, 试编写初化程序段 = 答 : 要输出脉冲周期为 1ms, 输出脉冲的频率是, 当输入时钟频率为 2MHz 时, 计数器初值是 = = 3 使用计数器 1, 先读低 8 位, 后读高 8 位, 设为方式 3, 二进制计数, 控制字是 76H 设控制口的地址是 200H, 计数器 0 的地址是 202H 程序段如下: MOV DX,200H MOV AL,76H OUT DX,,AL MOV DX,202H MOV AX,2000 OUT DX,AL MOV AL,AH OUT DX,AL 6 设 8253 计数器的时钟输入频率为 191MHz, 为产生 25KHz 的方波输出信号, 应向计数器装入的计数初值为多少? 191MHz 答 : 25KH Z = 764 应向计数器装入的初值是 76

8 7 设 8254 的计数器 0, 工作在方式 1, 计数初值为 2050H; 计数器 1, 工作在方式 2, 计数初值为 3000H; 计数器 2, 工作在方式 3, 计数初值为 1000H 如果三个计数器的 GATE 都接高电平, 三个计数器的 CLK 都接 2MHz 时钟信号, 试画出 OUT0 OUT1 OUT2 的输出波形 答 : 计数器 0 工作在方式 1, 即可编程的单脉冲方式 这种方式下, 计数的启动必须由外部门控脉冲 GATE 控制 因为 GATE 接了高电平, 当方式控制字写入后 OUT0 变高, 计数器无法启动, 所以 OUT0 输出高电平 计数器 1 工作在方式 2, 即分频器的方式 输出波形的频率 f= 出负脉冲的宽度等于 CLK 的周期为 05µs 计数器 2 工作在方式 3, 即方波发生器的方式 输出频率 f= 三个 OUT 的输出波形如下 : fclk N = 2MHz 3000 =6667HZ, 其周期为 15ms, 输 2MHz 1000 = 2000Hz 的对称方波 OUT0 OUT1 OUT2 05μ s 15m s 250μ s 250μ s 8 用 8253 设计一个时钟电路, 要求有时 分 秒三个输出 自行设计电路连接图 ( 可提供的输入时钟是 50K,8253 的 IO 地址范围是 40H-43H) 控制信号与 8086 相总线相连, 详见下图 8254 端口地址为 81H~84H, 用 8254 控制 LED 点亮或熄灭, 点亮 10 秒钟后, 再熄灭 10 秒, 周而复始 2MHz 频率从 CLK0 输入, 通道 0 与通道 1 级联 0# 计数器的初始值为 5000 回答下列问题 : (1)8254 中 1# 定器器的端口地址是多少? (2)CLK0 的输入时钟是 2MHz,0# 计数器的初始值为 5000, 则 0# 定时器的定时时间是多少? (3)0# 定时器工作为方式 2, 计数初值 5000,OUT0 脉冲频率为多少? (4) 1# 定时器工作为方式 3,OUT1 输出周期为 20 秒,N1 为初值应是多少? 写出计算表达式 第 4 部分并行接口本章知识 : 的基本功能 PA PB PC 三个并口 控制字

9 38255 的工作方式 ( 方式 0- 方式 2 的特点 ) 4STB IBF ACK OBF INTR 等引脚的功能与作用 的程序设计 6 打印机接口的基本原理, 打印字符的过程 DATA STB BUSY ACK 等信号的先后关系 练习 : 一 单项选择题 芯片具有 端口 A)2 B)3 C)4 D) 的 一般用作控制或状态信息传输 A) 端口 A B) 端口 B C) 端口 C D) 端口 C 的上半部分 3 对 8255 的端口 A 工作在方式 1 输入时,C 口的 一定为空闲的 A)PC4 PC5 B)PC5 PC6 C)PC6 PC7 D)PC2 PC3 4 对 8255 的 C 口 D3 位置 1 的控制字为 A) B B) B C) B D) B 工作在方式 1 的输出时,OBF 信号表示 A) 输入缓冲器满信号 B) 输出缓冲器满信号 C) 输入缓冲器空信号 D) 输出缓冲器空信号 6 并行接口一般要对输出数据进行锁存, 其原因是 ( ) A 外设速度常低于主机速度 B 主机速度常低于外设速度 C 主机与外设速度通常差不多 D 要控制对多个外设的存取 二 多项选择题 具有方式 1 的通道有 A) 通道 A B) 通道 B C) 通道 C D) 都不是 28255A 的 A 口方式 1 输出,B 口方式 1 输入时使用 C 口的联络线 A)PC0 B)PC1 C)PC2 D)PC3 E)PC4 F)PC5 G)PC6 H)PC A 工作方式控制字的功能有 A) 选择芯片 B) 设置各端口的工作方式 C) 设置各端口的输入 / 输出 D) 选择联络线 48255A 的工作方式字为 B, 则工作在输出方式的有 A)A 口 B)B 口 C)C 口高 4 位 D)C 口低 4 位 58255A 工作在方式 2( 双向选通 I/O) 时,( ) A 只能作输入接口 B 只能作输出接口 C 作输入口或作输出口 D 同时可作输入口 输出口 6 传输距离较近时, 常采用 ( ) A 串行接口 B 简单接口 C 可编程接口 D 并行接口 三 应用题 18255A 的 A 口与共阴级的 LED 显示器相连, 若片选信号 A10~A3= , 问 8255A 的端口地址是多少?A

10 口应工作在什么方式? 画出 8255A LS CPU 微机总线接口图, 写出 8255A 的初始化程序 2 当数据从 8255A 的 C 端口读到 CPU 时,8255A 的控制信号 CS RD WR A 1 A O 分别是什么电平? A 的 3 个端口在使用上有什么不同? 答 :8255A 的 A 端口, 作为数据的输入 输出端口使用时都具有锁存功能 B 端口和 C 端口当作为数据的输出端口使用时具有锁存功能, 而作为输入端口使用时不带有锁存功能 4 当数据从 8255A 的 C 端口读到 CPU 时,8255A 的控制信号 CS RD WR A1 AO 分别是什么电平? 答 : 当数据从 8255A 的 C 端口读入 CPU 时,8255A 的片选信号 CS 应为低电平, 才能选中芯片 A1,A0 为 10, 即 A1 接高电平,A0 接低电平, 才能选中 C 端口 RD 应为低电平 ( 负脉冲 ), 数据读入 CPU, WR 为高电平 5 要求不断检测某一系统的 8 个开关量, 即 K7~K0 的通断状态, 并随时在发光二极管 LED7~ LED0 上显示出来 开关断开, 相应的 LED 点亮, 开关合上,LED 熄灭 硬件连接如图所示 回答下列问题 : (1) 8086 的 M/IO 的作用是什么? (2) 8255 的端口地址范围是多少? 请作以分析 (3) PA 口和 PB 口应工作于何种工作方式? 为什么? (4) PA PB PC 三个端口地址分别是多少? (5) 按上述要求 : 填写下述程序段中的空格 MOV DX, 1 MOV AL, 2 ; 控制字 OUT DX, 3 TEST1:MOV DX, 4 IN AL, 5 MOV DX, 6 OUT 7, 8 JMP TEST1 第 5 部分串行接口 本章知识 : 1 串行通信过程 特点

11 2 同步串行通信 异步串行通信 3 波特率的计算 4 串行通信方式 : 单工 半双工 全双工 5 信号的调制与解调 6 串行通信的过程 (DTE DCE) 7 串行通信标准 RS-232 RS485 RS422 RS449 等接口标准的特点, 性能 一 单项选择题 1 标准的 RS-232-C 规定的串行数据传送距离为 ( ) 米 A10 B20 C50 D100 2 串行通信适用于 ( ) 微机间的数据传送 A 不同类型 B 同类型 C 近距离 D 远距离 3 两台微机间进行串行通信时, 波特率应设置为 ( ) A 不同 B 相同 C 可相同也可不同 D 固定不变 4 两台微机间进行串行双工通信时, 最少可采用 ( ) 根线 A2 B3 C4 D5 5 微机的串口 1 的 I/O 地址为 ( ) A3F8H~3FFH B2FBH~2FFH C378H~3F7H D3E0H~3E7H 6 假如某异步串行通信中传送一个字符, 它包括 1 个起始位,7 个数据位,1 个偶校验位,1 个停止位, 如果传送速率为 1200 波特, 则每秒所能传送的字符个数是 A)100 B)120 C)2400 D)300 7 异步方式下, 方式指令字的 D1D0 为 01, 若收发的时钟 TXC RXC 为 4800HZ, 则输入 输出数据速率为波特 A)300 B)4800 C)2400 D)3000 三 填空题 1 计算机与外界交换信息称为通信, 通信有两种基本的方式 : 和 2 串行通信中, 按照数据在通信线路上的传输方向可分为 三种基本传输模式 3 通信常采用奇偶校验 4 串行通信规程按通信方式分为 和 两大类 5 串行异步通信的起始位为 电平, 有 位 68251A 的方式选择控制字在 之后写入 四 应用题 1 某系统利用 8251A 与外设通信, 假设 8251A 工作在异步方式, 其传送字符格式为 :1 位起始位 7 位数据位 采用偶校验 1 位停止位, 波特率为 2400 该系统每分钟发送多少个字符? 若波特率系数为 16, TXC 的时钟频率应为多少? 写出 8251A 的初始化程序 设 8251A 控制口地址为 FFF2H 2 如果串行传输速率是 2400 波特, 数据位的时钟周期是多少秒? 3 在远距离数据传输时, 为什么要使用调制解调器?

12 4 同步传输方式和异步传输方式的特点各是什么? 5 在异步传输时, 如果发送方的波特率是 600, 接收方的波特率是 1200, 能否进行正常通信? 为什么? 6 一个异步串行发送器, 发送具有 8 位数据位的字符, 在系统中使用一位作偶校验,2 个停止位 若每秒钟发送 100 个字符, 它的波特率和位周期是多少? 答 : 每个字符需要的发送位数是 12 位 ( 数据位 8 位, 校验位 1 位, 停止位 2 位, 起始位 1 位 ) 每秒发送 个字符共 1200 位 因此波特率为 1200 波特, 位周期 = µs 7 如果串行传输速率是 2400 波特, 数据位的时钟周期是多少秒? 答 : 数据位的时钟周期是 = 秒 8 在远距离数据传输时, 为什么要使用调制解调器? 答 : 在远距离传输时, 通常使用电话线进行传输, 电话线的频带比较窄, 一般只有几 KHz, 因此传送音频的电话线不适于传输数字信号, 高频分量会衰减的很厉害, 从而使信号严重失真, 以致产生错码 使用调制解调器, 在发送端把将要传送的数字信号调制转换成适合在电话线上传输的音频模拟信号 ; 在接收端通过解调, 把模拟信号还原成数字信号 9 全双工和半双工通信的区别是什么? 在二线制电路上能否进行全双工通信? 为什么? 答 : 全双工和半双工通信, 双方都既是发送器又是接收器 两者的区别在于全双工可以同时发送和接收 半双工不能同时双向传输, 只能分时进行 在二线制电路上是不能进行全双工通信的, 只能单端发送或接收 因为一根信号线, 一根地线, 同一时刻只能单向传输 10 同步传输方式和异步传输方式的特点各是什么? 答 : 同步传输方式中发送方和接收方的时钟是统一的 字符与字符间的传输是同步无间隔的 异步传输方式 并不要求发送方和接收方的时钟完全一样, 字符与字符间的传输是异步的 11 在异步传输时, 如果发送方的波特率是 600, 接收方的波特率是 1200, 能否进行正常通信? 为什么? 答 : 不能进行正常通信, 因为发送方和接收方的波特率不同, 而接收端的采样频率是按传输波特率来设置 第 6 部分存储器系统存储器分类表如下所示 : 双极型半导体存储器随机存储器 (RAM) MOS 存储器 ( 静态 动态 ) 主存储器可编程只读存储器 PROM 读存储器 (ROM) 可擦除可编程只读存储器 EPROM,EEPROM 掩膜型只读存储器 MROM 快擦型存储器存储器磁盘 ( 软盘 硬盘 盘组 ) 存储器辅助存储器磁带存储器光盘存储器

13 缓冲存储器 存储器芯片的扩展存储器芯片扩展的方法有以下两种 : 1 存储器芯片的位扩充适用场合 : 存储器芯片的容量满足存储器系统的要求, 但其字长小于存储器系统的要求 例 1 用 1K 4 的 2114 芯片构成 lk 8 的存储器系统 分析 : 由于每个芯片的容量为 1K, 故满足存储器系统的容量要求 但由于每个芯片只能提供 4 位数据, 故需用 2 片这样的芯片, 它们分别提供 4 位数据至系统的数据总线, 以满足存储器系统的字长要求 设计要点 : 将每个芯片的 10 位地址线按引脚名称一一并联, 按次序逐根接至系统地址总线的低 10 位 数据线则按芯片编号连接,1 号芯片的 4 位数据线依次接至系统数据总线的 D 0 -D 3,2 号芯片的 4 位数据线依次接至系统数据总线的 D 4 -D 7 两个芯片的 WE 端并在一起后接至系统控制总线的存储器写信号 ( 如 CPU 为 8086/8088, 也可由 WR 和 IO /M 或 IO/ M 的组合来承担 ) CS 引脚也分别并联后接至地址译码器的输出, 而地址译码器的输入则由系统地址总线的高位来承担 具体连线见图 4-16 当存储器工作时, 系统根据高位地址的译码同时选中两个芯片, 而地址码的低位也同时到达每一个芯片, 从而选中它们的同一个单元 在读 / 写信号的作用下, 两个芯片的数据同时读出, 送上系统数据总线, 产生一个字节的输出, 或者同时将来自数据总线上的字节数据写入存储器 M/IO A 11 A 10 A 9 A 0 译码器 Y 0 A 9 A 0 CS 2114 (1) A 9 A 0 CS 2114 (2) 8088 WR D 0 D 3 D 4 D 7 WE I/O I/O WE I/O I/O 图 用 2114 组成 1K 8 的存储器连线 根据硬件连线图, 我们还可以进一步分析出该存储器的地址分配范围如下 :( 假设只考虑 16 位地址 ) 地 址 码 芯片的地址范围 A 15 A 12 A 11 A 10 A 9 A H : : : : F F H

14 表示可以任选值, 在这里我们均选 0 这种扩展存储器的方法就称为位扩展, 它可以适用于多种芯片, 如可以用 8 片 2164A 组成一个 64K 8 的存储器等 2 存储器芯片的字扩充适用场合 : 存储器芯片的字长符合存储器系统的要求, 但其容量太小 例 2 用 2K 8 的 2716A 存储器芯片组成 8K 8 的存储器系统 分析 : 由于每个芯片的字长为 8 位, 故满足存储器系统的字长要求 但由于每个芯片只能提供 2K 个存储单元, 故需用 4 片这样的芯片, 以满足存储器系统的容量要求 设计要点 : 同位扩充方式相似 先将每个芯片的 11 位地址线按引脚名称一一并联, 然后按次序逐根接至系统地址总线的低 11 位 将每个芯片的 8 位数据线依次接至系统数据总线的 D 0 -D 7 两个芯片的 OE 端并在一起后接至系统控制总线的存储器读信号 ( 这样连接的原因同位扩充方式 ), 它们的 CE 引脚分别接至地址译码器的不同输出, 地址译码器的输入则由系统地址总线的高位来承担 连线见图 A 12 A 11 M/IO A 10 A RD 译码器 Y 3 Y 2 Y 1 Y 0 A 10 CE A 10 CE A 10 CE A 10 CE A 0 OE D 0 O 0 D 7 O (1) A 0 OE O 0 O (2) A 0 OE O 0 O (3) A 0 OE O 0 O (4) 图用 2716 组成 8K 8 的存储器连线当存储器工作时, 根据高位地址的不同, 系统通过译码器分别选中不同的芯片, 低位地址码则同时到达每一个芯片, 选中它们的相应单元 在读信号的作用下, 选中芯片的数据被读出, 送上系统数据总线, 产生一个字节的输出 同样, 根据硬件连线图, 也可以进一步分析出该存储器的地址分配范围如下表 :( 假设只考虑 16 位地址 ) 地 址 码 芯片的地址范围 对应芯片编号 A 15 A 13 A 12 A 11 A 10 A 9 A H : : F F H H : : F F F H H : :

15 F F H H : : F F F H 表示可以任选值, 在这里我们均选 0 这种扩展存储器的方法就称为字扩展, 它同样可以适用于多种芯片, 如可以用 8 片 27128(16k 8) 组成一个 128K 8 的存储器等 3 同时进行位扩充与字扩充适用场合 : 存储器芯片的字长和容量均不符合存储器系统的要求, 这时就需要用多片这样的芯片同时进行位扩充和字扩充, 以满足系统的要求 例 3 用 1K 4 的 2114 芯片组成 2K 8 的存储器系统 分析 : 由于芯片的字长为 4 位, 因此首先需用采用位扩充的方法, 用两片芯片组成 1K 8 的存储器 再采用字扩充的方法来扩充容量, 使用两组经过上述位扩充的芯片组来完成 设计要点 : 每个芯片的 10 根地址信号引脚宜接接至系统地址总线的低 10 位, 每组两个芯片的 4 位数据线分别接至系统数据总线的高 / 低四位 地址码的 A 10 A 11 经译码后的输出, 分别作为两组芯片的片选信号, 每个芯片的 WE 控制端直接接到 CPU 的读 / 写控制端上, 以实现对存储器的读 / 写控制 硬件连线如图 A 11 A 10 2:4 译码器 1 0 A 0 ~A 9 M/IO WR A 0 ~A 9 CS 2114 WE (1) D 7 ~D 4 A 0 ~A 9 CS 2114 WE (1) D 3 ~D 0 A 0 ~A 9 CS 2114 WE (2) D 7 ~D 4 A 0 ~A 9 CS 2114 WE (2) D 3 ~D 0 D 7 ~D 0 图 用 2114 组成 2K 8 的存储器连线 当存储器工作时, 根据高位地址的不同, 系统通过译码器分别选中不同的芯片组, 低位地址码则同时到达 每一个芯片组, 选中它们的相应单元 在读 / 写信号的作用下, 选中芯片组的数据被读出, 送上系统数据 总线, 产生一个字节的输出, 或者将来自数据总线上的字节数据写入芯片组 同样, 根据硬件连线图, 我们也可以进一步分析出该存储器的地址分配范围如下 :( 假设只考虑 16 位地址 ) 地 址 码 芯片组的地址范围 对应芯片组编号 A 15 A 13 A 12 A 11 A 10 A 9 A H : : F F H H : : F F H

16 表示可以任选值, 在这里我们均选 0 思考 : 从以上地址分析可知, 此存储器的地址范围是 0000H-07FFH 如果系统规定存储器的地址范围从 0800H 开始, 并要连续存放, 对以上硬件连线图该如何改动呢? 由于低位地址仍从 0 开始, 因此低位地址仍直接接至芯片组 于是, 要改动的是译码器和高位地址的连接 我们可以将两个芯片组的片选输入端分别接至译码器的 Y 2 和 Y 3 输出端, 即当 A 11 A 10 为 10 时, 选中 , 则该芯片组的地址范围为 0800H-0BFFH, 而当 A 11 A 10 为 11 时, 选中 , 则该芯片组的地址范围为 0C00H-0FFFH 同时, 保证高位地址为 0( 即 A 15 -A 12 为 0) 这样, 此存储器的地址范围就是 0800H-0FFFH 了 ( 具体连线自己考虑 ) 以上例子所采用的片选控制的译码方式称为全译码方式, 这种译码电路较复杂, 但是, 由此选中的每一组的地址是确定且唯一的 有时, 为方便起见, 也可以直接用高位地址 ( 如 A 10 A 15 中的任一位 ) 来控制片选端 1 半导体存储器从器件原理的角度可分为哪两种类型? 答 : 半导体存储器从器件原理角度分为 ROM 和 RAM 两种 2 磁盘和磁带属于哪种类型的存储器? 答 : 磁盘和磁带同属于外存储器 3 半导体静态 RAM 和半导体动态 RAM 分别靠什么原理存储信息? 答 : 静态 RAM: 用一个触发器电路作为 1 个 Bit 的基本存储单元, 每个触发器能置位存储 1, 或复位存储 0 动态 RAM: 每 Bit 只需一个管子和一个电容, 存放的信息是 1 还是 0, 决定于电容中是否储存电荷 4 为保证动态 RAM 中的内容不消失, 需要进行哪一步操作? 答 : 由于电容有漏放电现象, 为保证 RAM 中的内容不消失, 必须另外设计一种电路, 定时 ( 一般为 2ms), 使电容上泄放的电荷得到补充, 即进行内存刷新 5 存储器片内的地址译码有哪两种方式? 答 : 存储芯片内的地址译码有全地址译码和独立译码两种 6 存储器是计算机系统的记忆设备, 它主要用来存储哪些东西? 答 : 存储器用来存储地址 数据和程序 7 存储字长和存取周期分别指什么? 答 : 存储字长是指存放在一个存储单元中的二进制代码个数 存取周期是指存储器进行连续读写操作所允许的最短时间间隔 8 和外存相比, 内存的特点有哪些? 答 : 和外存相比, 内存的特点是容量小 速度快 成本高 9 某计算机主存容量为 2048KB, 这里的 2048KB 表示多少个字节? 答 :2048KB 表示 2048*1024 个 Byte, 即 2(11)*2(10)=2(21) 个 10 某计算机的主存为 3KB, 则内存地址寄存器需多少位就足够了? 答 : 主存为 3KB, 则地址线有 12 条, 所以内存地址寄存器需 12 位 11 若 256KB 的 SRAM 具有 8 条数据线, 则它具有多少条地址线? 答 : 具有 18 条地址线 12 计算机的内存可采用 ROM RAM 磁盘中的哪几种?EPROM 指的又是什么? 主存和 CPU 之间增加高速缓存的目的是什么? 采用虚拟存储器的目的是什么? 答 : 可采用 ROM 和 RAM EPROM 指可编程并可擦除的 ROM, 兼有 ROM 和 RAM 的功能 由于主存储器的存 / 取时间比 CPU 慢一个数量级, 从而严重影响了微型机的速度, 而 RAM 线路的读写时间可与 CPU 的处理速度处于同一个数量级, 因此在主存储器和 CPU 之间增加高速缓冲存储器 Cache, 以提高机器的速度 虚拟存储器的容量比实际物理上主存储器的容量大, 软件可根据需要将所需的存储区域调入物理存储器, 也 可以提高处理速度 13 某以 8088 为 CPU 的微型计算机内存 RAM 区为 00000H~3FFFFH, 若采用 或 各需要多少片芯片? 答 : 采用 6264(8k*8bit) 需要 32 片 ; 采用 62256(32k*8bit) 需要 8 片 ; 采用 2164 (64k*1bit) 需要 32 片 ; 采用 (256k*1bit) 需要 8 片 14 利用全地址译码将 6264 芯片接在 8088 的系统总线上, 其所占地址范围为 8088 系统 BUS D0 D7 A0 A1 A12 MEMR MEMW A19 A18 A17 A16 A15 A14 A13 +5V A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 OE WE CS2 CS D0 D1 D2 D3 D4 D5 D6 D

17 BE000H~BFFFFH, 试画连接图 解答 : 采用全地址译码连接图如下 : 8088 系统 BUS D0 D7 A0 A1 A12 MEMR MEMW A19 A18 A17 A16 A15 A14 A13 +5V A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 OE WE CS2 CS D0 D1 D2 D3 D4 D5 D6 D 图 15 电路图 15 试利用 6264 芯片, 在 8088 系统总线上实现 00000H~03FFFH 的内存区域, 试画连接电路图 解答 : 采用全地址译码 16 叙述 EPROM 的编程过程, 说明 EEPROM 的编程过程 答 : PROM 的编程有两种方式, 即标准编程和灵巧编程两种方式 标准编程的过程为 : 使 Vcc 为 +5v,Vpp 加上 +21v 而后, 加上要编程的单元地址, 数据线加上要写入的数据, 使 CE 保持低电平, OE 为高电平 当上述信号稳定后, 在 PGM 端加上 50±5ms 的负脉冲 这样就将一个字节的数据写到了相应的地址单元中 重复上述过程, 即可将要写入的数据逐一写入相应的存储单元中 灵巧编 程方式要比标准方式快 5 倍左右 当加上 Vcc= 5v, Vpp=21v 后, 对一个写入地址, 用 1ms 编程脉冲进行编程, 接着进行校验, 如不成功, 再加 1ms 编程脉冲 最多可进行 15 次, 若 15 次仍不能将数据正确写入, 则认为芯片本身已损坏 若某一单元用 X 次 1 编程脉冲已正确写入, 校验到这种情况后, 立即对该单元加入 4 倍 X 的编程覆盖脉冲, 则认为该单元编程已完成 重复上述过程, 将所有要写入的单元编程 将数据写入 EEPROM 有两种方式, 即字节方式和自动页写入 在对 EEPROM 编程时, 可以在线操作 第 7 部分中断系统 一 判断题 1 内部中断的优先权总是高于外部中断 2 两片 8259A 级连后可管理 16 级中断 A 所管理的中断源中, 优先级低的中断源不可能中断优先级高的中断服务子程序 4 若 8259A 中断屏蔽字 OCW1 为 00H, 则 8259A 所管理的 8 级中断全被屏蔽 5 只要 8259A 所管理的中断源没有被屏蔽, 则任何中断源的中断请求都能得到 CPU 的响应和服务 6 在 8259A 特殊完全嵌套方式中, 同级的中断可实现嵌套 7 中断响应时,8086 会自动输出两个总线周期的 INTA 信号 88259A 只能管理可屏蔽中断, 而不能管理非屏蔽中断 9 当运算产生溢出时, 只是用 STI 关闭呀中断, 不会产生溢出中断 二 单项选择题 1CPU 响应 INTR 引脚上来的中断请求的条件之一是 A)IF=0 B)IF=1 C)TF=0 D)TF=1 2 断点中断的中断类型码是 A)1 B)2 C)3 D)4 3 在 PC/XT 机中键盘的中断类型码是 09H, 则键盘中断矢量存储在 A)36H~39H B)24H~27H C)18H~21H D)18H~1BH 43 片 8259A 级联起来, 可管理级中断 A)24 B)23 C)22 D)20 E)16 F)15

18 5 若 8259A 工作在优先级自动循环方式, 则 IRQ4 的中断请求被响应并且服务完毕后, 优先权最高的中断 源是 A)IRQ3 B)IRQ5 C)IRQ0 D)IRQ4 6PC/XT 机中若对从片 8259A 写入的 ICW2 是 70H, 则该 8259A 芯片的 IRQ6 的中断类型码是 7PC/XT 机中若对从片 8259A 写入的 ICW2 是 70H, 则该 8259A 芯片的 IRQ5 的中断矢量存储的地址是 A)75H B)280H C)300H D)1D4H 8 当向 8259A 写入的操作命令字 OCW2 为 时, 将结束 的中断服务 A)IRQ0 B)IRQ1 C)IRQ2 D)IRQ3 E)IRQ4 F)IRQ5 G)IRQ6 H)IRQ 中断系统中优先级最低的的是 ( ) A 可屏蔽中断 B 不可屏蔽中断 C 单步中断 D 除法出错 10 若 8259A 工作在优先级自动循环方式, 则 IRQ4 的中断请求被响应并且服务完毕后, 优先级最高的中断 源是 ( ) AIRQ3 BIRQ5 CIRQ0 DIRQ 中断系统可以管理 ( ) 种中断 A16 B1K C256 D 中断向量表的大小为 ( ) 字节 A256 B1024 C2k D64k 13 软中断 INTn(n=10H~FFH) 的优先级排列原则是 ( ) An 值愈小级别越高 B 无优先级别 Cn 值愈大级别越高 D 随应用而定 14 两片 8259A 级联后可管理 ( ) 级中断 A15 B16 C32 D64 三 多项选择题 1PC/XT 机对 I/O 端口的寻址方式有 A) 端口直接寻址 B) 寄存器寻址 C) 基址寻址 D) 变址寻址 E) 寄存器相对寻址 F)DX 间接寻址 2PC 机在和 I/O 端口输入输出数据时,I/O 数据须经 传送 A)AL B)BL C)CL D)DL E)AX F)BX G)CX H)DX 3 在 PC 机工作过程中,8259A 所管理的中断源优先级将发生变化的工作方式有 A) 全嵌套工作方式 B) 特殊全嵌套方式 C) 优先级自动循环方式 D) 优先级特殊循环方式 4 写入 8259A 的 ICW1 为 13H, 则该 8259A 芯片的工作方式是 A) 上升沿触发中断请求 B) 仅高电平请求中断 C) 多片主从方式 D) 单片方式 E) 初始化写入 ICW4 F) 初始化不写入 ICW4 5 写入 8259A 的 ICW4 为 09H, 则该 8259A 芯片的工作方式是 A) 全嵌套 B) 采用 8086CPU C) 多片主从方式 D) 缓冲方式 E) 自动结束中断 F) 优先级自动循环 6 写入 PC/XT 机 8259A 芯片的操作命令字 OCW1 是 36H, 则被屏蔽的中断源是 A)IR0 B)IR1 C)IR2 D)IR3 E)IR4 F)IR5 G)IR6 H)IR7 7PC/XT 机的 CPU 在某个中断服务子程序中执行了如下的指令 :

19 STI MOV AL,68H OUT 20H,AL 后,CPU 可以接受并响应中断请求 四 填空题 1 中断矢量就是中断服务子程序的, 在内存中占有 个存储单元, 其中低地址存储单元存放的 是, 高地址存储单元存放的是 2 中断返回指令是, 该指令将堆栈中保存的断点弹出后依次装入 寄存器和 寄存器 中, 将堆栈中保存的标志装入 中 3CPU 响应 8259A 中断, 在 引脚上输出 个负脉冲, 在第 个负脉冲期间读入中断类型 码 4PC 机中当 8259A 工作在 方式和 方式时, 在中断返回前必须向 H 端口写入一条中断 结束指令 5PC/XT 机的中断矢量表放在从 H 地址单元到 地址单元, 总共有 个字节 6CPU 响应中断后将 寄存器入栈保存, 然后自动将 标志和 标志复位 若要实现中断嵌 套, 必须在中断服务子程序中执行一条 指令 五 简答题 1 试比较指令中断与子程序调用有什么异同 2 说明 AEOI 和 EOI 的区别, 一般 EOI 和特殊 EOI 的区别, 你认为它们各适合在什么情况下使用? 3 试说明类型码为 8H 的中断服务程序入口地址放在内存的什么地方, 具体放置形式如何 8086CPU 的外部中断引脚有 和 4 试说明一般中断系统的组成和功能 答 : 处理器内部应有中断请求信号的检测电路, 输出中断响应信号, 保存断点的逻辑, 转向中断处理程序的逻辑, 中断返回逻辑 系统中要有一中断控制器, 管理多个中断源, 提供处理机所需的中断处理信息 系统中请求中断处理的 I/O 接口电路要有提供中断请求信号及接收中断响应信号的逻辑 5 什么是中断类型码 中断向量 中断向量表? 在基于 8086/8088 的微机系统中, 中断类型码和中断向量之间有什么关系? 答 : 处理机可处理的每种中断的编号为中断类型码 中断向量是指中断处理程序的入口地址, 由处理机自动寻址 中断向量表是存放所有类型中断处理程序入口地址的一个默认的内存区域 在 8086 系统中, 中断类型码乘 4 得到向量表的入口, 从此处读出 4 字节内容即为中断向量 6 什么是硬件中断和软件中断? 在 PC 机中两者的处理过程有什么不同? 答 : 硬件中断是通过中断请求线输入电信号来请求处理机进行中断服务 ; 软件中断是处理机内部识别并进行处理的中断过程 硬件中断一般是由中断控制器提供中断类型码, 处理机自动转向中断处理程序 ; 软件中断完全由处理机内部形成中断处理程序的入口地址并转向中断处理程序, 不需外部提供信息 7 试叙述基于 8086/8088 的微机系统处理硬件中断的过程

20 答 : 以 INTR 请求为例 当 8086 收到 INTR 的高电平信号时, 在当前指令执行完且 IF=1 的条件下,8086 在两个总线周期中分别发出 INTA# 有效信号 ; 在第二个 INTA# 期间,8086 收到中断源发来的一字节中断类型码 ;8086 完成保护现场的操作,CS IP 内容进入堆栈, 清除 IF TF;8086 将类型码乘 4 后得到中断向量入口地址, 从此地址开始读取 4 字节的中断处理程序的入口地址,8086 从此地址开始执行程序, 完成了 INTR 中断请求的响应过程 8 在 PC 机中如何使用 用户中断 入口请求中断和进行编程? 答 :PC 机中分配给用户使用的中断是 IRQ9, 经扩展插槽 B4 引出, 故把用户的中断请求线连接到 B4 上 在应用程序中, 利用 25H 号系统调用将中断服务程序的入口地址写入对应 0AH 类型中断对应的中断向量表中去 在应用程序中把主片 8259A D2 屏蔽位清 0, 把从片 8259A D1 屏蔽位清 0, 使主片的 IR2 从片的 IR1 可以输入中断请求 中断服务程序结束前向主片 8259A 发中断结束命令 应用程序结束之前对主片的 IR2 和从片的 IR1 进行屏蔽, 关闭用户中断请求 98259A 中断控制器的功能是什么? 答 :8259A 中断控制器可以接受 8 个中断请求输入并将它们寄存 对 8 个请求输入进行优先级判断, 裁决出最高优先级进行处理, 它可以支持多种优先级处理方式 8259A 可以对中断请求输入进行屏蔽, 阻止对其进行处理 8259A 支持多种中断结束方式 8259A 与微处理器连接方便, 可提供中断请求信号及发送中断类型码 8259A 可以进行级连以便形成多于 8 级输入的中断控制系统 A 初始化编程过程完成那些功能? 这些功能由那些 ICW 设定? 答 : 初始化编程用来确定 8259A 的工作方式 ICW1 确定 8259A 工作的环境 : 处理器类型 中断控制器是单片还是多片 请求信号的电特性 ICW2 用来指定 8 个中断请求的类型码 ICW3 在多片系统中确定主片与从片的连接关系 ICW4 用来确定中断处理的控制方法 : 中断结束方式 嵌套方式 数据线缓冲等 A 在初始化编程时设置为非中断自动结束方式, 中断服务程序编写时应注意什么? 答 : 在中断服务程序中, 在返回主程序之前按排一条一般中断结束命令指令,8259A 将 ISR 中最高优先级 位置 0, 结束该级中断处理以便为较低级别中断请求服务 A 的初始化命令字和操作命令字有什么区别? 它们分别对应于编程结构中那些内部寄存器? 答 :8259A 的工作方式通过微处理器向其写入初始化命令字来确定 初始化命令字分别装入 ICW1~ICW4 内部寄存器 8259A 在工作过程中, 微处理器通过向其写入操作命令字来控制它的工作过程 操作命令字分别装入 OCW1~OCW3 内部寄存器中 8259A 占用两个端口号, 不同的命令字对应不同的端口, 再加上命令字本身的特征位及加载的顺序就可以正确地把各种命令字写入对应的寄存器中 A 的中断屏蔽寄存器 IMR 与 8086 中断允许标志 IF 有什么区别? 答 :IF 是 8086 微处理器内部标志寄存器的一位, 若 IF=0,8086 就不响应外部可屏蔽中断请求 INTR 引线上的请求信号 8259A 有 8 个中断请求输入线,IMR 中的某位为 1, 就把对应这位的中断请求 IR 禁止掉, 无法被 8259A 处理, 也无法向 8086 处理器产生 INTR 请求 14 若 8086 系统采用单片 8259A 中断控制器控制中断, 中断类型码给定为 20H, 中断源的请求线与 8259A 的 IR4 相连, 试问 : 对应该中断源的中断向量表入口地址是什么? 若中断服务程序入口地址为 4FE24H, 则对应该中断源的中断向量表内容是什么, 如何定位? 答 : 中断向量表入口地址为 :0 段的 0090H 地址 对应 4FE24H 中断服务程序入口, 在向量表中定位情况 : (0090H)=24H (0091H)=00H (0092H)=E0H (0093H)=4FH

21 15 按照如下要求对 8259A 设定初始化命令字 :8086 系统中只有一片 8259A, 中断请求信号使用电平触发方式, 全嵌套中断优先级, 数据总线无缓冲, 采用中断自动结束方式 中断类型码为 20H~27H,8259A 的端口地址为 B0H 和 B1H 答 :ICW1=1BH ( 送 B0H 端口 ),ICW2=20H ( 送 B1H 端口 ),ICW4=03H ( 送 B1H 端口 ) 16 比较中断与 DMA 两种传输方式的特点 答 : 中断方式下, 外设需与主机传输数据时要请求主给予中断服务, 中断当前主程序的执行, 自动转向对应的中断处理程序, 控制数据的传输, 过程始终是在处理器所执行的指令控制之下 直接存储器访问 (DMA) 方式下, 系统中有一个 DMA 控制器, 它是一个可驱动总线的主控部件 当外设与主存储器之间需要传输数据时, 外设向 DMA 控制器发出 DMA 请求,DMA 控制器向中央处理器发出总线请求, 取得总线控制权以后,DMA 控制器按照总线时序控制外设与存储器间的数据传输而不是通过指令来控制数据传输, 传输速度大大高于中断方式 第 8 部分人机接口技术 一 填空题 1 常用的软件识别按键的方法有 和 2 用 8255 的 PA 口和 PC 口的低 4 位接一个键盘阵列, 最多可识别 个按键 3 软件识别按键时, 当识别有键按下后所加的一段延时程序是为了 4 键盘一般可分为四个盘区, 它们是 和 5 声卡是实现 和 转换的硬件电路 6 常用的打印机除针打外, 还有 和 打印机 7 要显示真彩色, 屏幕上的每个象素要用 字节来表示, 这时所能表示的颜色种类多达 种 8LED 有 和 两种接法 9LCD 有 和 两种驱动方式 10 用一个共阴极接法八段数码管表示字符 6, 它的字形码应当是 二 选择题 1 用 8255 的 PA 口和 PC 口的低 4 位接一个键盘阵列, 最多可识别 ( ) 个按键 A6 B8 C16 D32 2LCD 显示器比 LED 显示器 ( ) A 费电 B 省电 C 亮度高 D 屏幕大 3 使 8 段 LED 显示器显示数字的编码称为 ( ) A 字形码 BASCII 码 C 区位码 DBCD 码 4 按键的抖动是由 ( ) 造成的 A 电压不稳定 B 电流不稳定 C 机械运动抖动和接触不稳定 D 按键速度太慢 问答题 : 1 简述行列式键盘矩阵的读入方法 答 : 将行线接输出口, 列线接输入口, 采用行扫描法, 先将某一行输出为低电平, 其它行输出为高电平, 用输入口来查询列线上的电平, 逐次读入列值, 如果行线上的值为 0 时, 列线上的值也为 0, 则表明有键按下 否则, 接着读入下一列, 直到找到该行有按下的键为止 如该行没有找到有键按下, 就按此方法逐行找下去, 直到扫描完全部的行和列

22 2LED 数码管显示器共阴极和共阳极的接法主要区别是什么? 答 :LED 数码管显示器共阴极的接法是发光二极管的阴极接地, 当数码管的笔划发光二极管的阳极为高电平时, 该笔划被点亮 共阳极的接法是发光二极管的阳极接高电平, 当数码管的笔划发光二极管的阴极为低电平时, 该笔划被点亮 总之, 主要区别在于 LED 数码管的接法和驱动笔划的数据电平的不同 3 试绘图说明 LED 数码管显示器的动态显示原理 答 : 在图中 LED 数码管是共阴极的, 总共可带动 8 位这样的 LED 数码管 动态驱动显示接口与静态驱动显示接口的一个明显特点是 : 动态驱动法将多位 LED 同名段的选择线都并联在一起, 即 8 位中的所有同名段 a 接在一起, 所有 b 段都接在一起, 这样只要一个 8 位的锁存器来控制段码 a,b,c,d,e,f,g 就够了 另外用一个锁存器来控制点亮的位 因此需要 2 个 8 位的 I/O 端口 由于所有位的位选择码是用一个 I/O 端口控制, 所有段的段选择码也是用一个 I/O 端口控制, 因此在每个瞬间,8 位 LED 只可能显示相同的字符 要想每位显示不同的字符, 必须要采用扫描的显示方式 即在每一瞬间只能使某一位显示相应的字符, 在此瞬间, 由位选择控制的 I/O 端口在要显示的位上送入选通电平 ( 共阴极接法送入低电平, 共阳极接法送入高电平 ), 以保证让该位显示字符 ; 再由段选择控制的 I/O 端口输出相应字符的段选择码 如此循环下去, 使每一位都显示该位应显示的字符, 并保持延时一段时间, 然后再选中下一位, 利用发光显示器的余辉及人眼的视觉暂留特点, 给人一种显示器同时被点亮的效果 段选择码, 位选择码在每送入一次后一般需要延时 1~5ms 时间 第 9 部分 A/D D/A 1 A/D 和 D/A 转换在微机应用中分别起什么作用? 答 : 在微机应用中 A/D 转换器完成输入模拟量到数字量的转换, 供微机采集数据 D/A 转换器完成微机输出数字量到模拟量的转换, 实现微机控制 2D/A 转换器和微机接口中的关键问题是什么? 对不同的 D/A 芯片应采用何种方法连接? 答 :D/A 转换器和微机接口时主要注意两点 : 第一要了解所选用的 D/A 转换器本身是否带有数据锁存器, 如果芯片内部带有锁存器可以直接和 CPU 的数据总线相连接 ; 如果芯片内部不带有锁存器, 在接口电路中需要通过数据锁存器来连接 CPU 的数据总线和 D/A 转换器的数据线 第二是要注意 D/A 转换器的位数和所要连接的微机数据总线的位数是否一致 以便决定在需要加数据锁存器时, 加几级锁存器, 如果 CPU 的数据总线是 8 位, 使用的是大于 8 位的 D/A 转换器, 通常采用两级缓冲结构和 CPU 数据总线相连 3 什么叫 D/A 转换器的分辨率? 答 :D/A 转换器的分辨率指它所能分辨的最小输出电压与最大输出电压的比值 通常用 D/A 转换器输入数字 量的位数来表示 4 若一个 D/A 转换器的满量程 ( 对应于数字量 255) 为 10V 若是输出信号不希望从 0 增长到最大, 而是有 一个下限 20V, 增长到上限 80V 分别确定上下限所对应的数 10V 答 : 因为满量程为 10V, 则每一步的电压变化量为 256步 = 0039V/ 步 于是, 下限是 20V 0039V / 步 =513 步取 51, 即 33H 上限是 80V 0039V / 步 = 2051 步取 205, 即 CDH 5 DAC 与 8 位总线的微机接口相连接时, 如果采用带两级缓冲器的 DAC 芯片, 为什么有时要用三条输出指

23 令才能完成 10 位或 12 位的数据转换? 答 : 因为在使用内部不带数据寄存器的 DAC 时, 常常需要在 DAC 前面增加数据缓冲器, 用来锁存 CPU 通过数据总线发出的数字 如果总线为 8 位, 而 DAC 超过 8 位 ( 例如 10 位或 12 位 ) 时,CPU 必须分 2 次才能把控制数字送入数据缓冲器, 例如先送数据的低 8 位, 然后送剩下的高位, 因此需要执行 2 条输出指令 另外, 为了避免 DAC 在得到局部输入时, 其输出端输出并不是最后结果的模拟量, 通常采用 2 级数据缓存结构, 相应地 CPU 也需要再增加执行一次输出指令, 使在第一级缓冲器中锁存的数据经第二级缓冲器后能一次加到 DAC 输入端 第三条输出指令仅仅是使第二级缓冲器得到一个选通信号 6 已知某 DAC 的输入为 12 位二进制数, 满刻度输出电压 Vom=10V, 试求最小分辨率电压 VLSB 和分辨率 = 答 :12 位 D/A 的分辨率 2 n = log 答 : 1 1 = = 3 3 lg( ) ( ) = = 11 lg % 1 1 = Vom = 10 = V 12 最小分辨率电压 VLSB 已知某 DAC 的最小分辨电压 VLSB=5mV, 满刻度输出电压 Vom=10V, 试求该电路输入二进制数字量的位数 n 应是多少? n = = n 3 2 = A/D 转换器和微机接口中的关键问题有哪些? 答 : A/D 转换器和微机接口时的关键问题主要有 6 个 1 A/D 转换器输出和 CPU 的接口方式, 主要有 2 种连接方式 : 一种是 A/D 芯片输出端直接和系统总线相连 ; 另一种是 A/D 芯片输出端通过接口电路和总线相连 2 A/D 转换器的分辨率和微机数据总线的位数匹配 : 当 10 位以上的 A/D 转换器和 8 位数据总线连接时, 由于数据要按字节分时读出, 因此从 8 位数据线上需分 2 次来读取转换的数据 设计接口时, 数据寄存器要增加读写控制逻辑 3 A/D 转换的时间和 CPU 的时间配合问题 : 要注意 A/D 转换的启动方式, 通常启动信号分为电平控制启动和脉冲启动两种 其中又有不同的极性要求 还要注意转换后信号的处理 4A/D 的控制和状态信号 因为 A/D 转换器的控制和状态信号的类型与特征对接口有很大影响, 在设计时必须要注意分析控制和状态信号的使用条件 5 输入模拟电压的连接, 特别是多路模拟电压的切换控制 6 接地问题, 为了减轻数字信号脉冲对模拟信号的干扰, 数字地和模拟地要正确连接 9 A/D 转换器为什么要进行采样? 采样频率应根据什么选定? 答 : 因为被转换的模拟信号在时间上是连续的, 瞬时值有无限多个, 转换过程需要一定的时间, 不可能把每一个瞬时值都一一转换成模拟量 因此对连续变化的模拟量要按一定的规律和周期取出其中的某一瞬时值, 这个过程就是将模拟量离散化, 称之为采样, 采样以后用若干个离散的瞬时值来表示原来的模拟量 通常为了使 A/D 输出信号经过 D/A 还原后能更好地反映输入模拟信号的变化, 根据采样定理, 采样频率一般要高于或至少等于输入信号中最高频率分量的 2 倍, 就可以使被采样的信号能够代表原始的输入信号 在输入信号频率不是太高的实际应用中, 一般取采样频率为最高频率的 4~8 倍 10 若 ADC 输入模拟电压信号的最高频率位 20KHz, 取样频率的下限是多少? 完成一次 A/D 转换时间的上限 是多少? 答 : 取样频率的下限为 20kHZ 2=40 khz 完成一次转换的最长时间是 1 40kHZ = 0025ms

24 11 双积分式 ADC 电路中的计数器是十进制的, 最大计数容量 N=(1000)10, 时钟脉冲频率为 5KHz, 完成一次转换最长需要多少时间? 答 : 由于双积分式 A/D 的工作模式是固定时间正向积分 固定斜率反向积分 正向积分与反向积分的切换是由正向积分开始时计数器从 0 计数到计满后产生的溢出信号控制, 较高的反极性的基准电压进入积分器 VREF VI > 反向积分 ( 因为反向斜率值大于正向斜率值 RC RC, 一般反向积分时间要小于正向积分时间 ), 计数器再次从 0 开始计数, 直至反向积分至 0 时停止计数, 此时的计数值就是对应的输入量的变换数字量 因此完成一次转换的最长时间不大于 2 倍正向积分时间 ( 即计数器从 0 到计满时间的 2 倍 ) 在该题为 = 400ms 5kHZ

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

微机系统与接口--第5章-2.ppt

微机系统与接口--第5章-2.ppt 第五章 数字量输入输出接口 主 要 内 容 * 接口基本概念 * 接口电路 ( 芯片 ) 端口地址 * 数据传送方式 * 总线及其接口 * 中断电路及其处理 * 定时 / 计数器电路与应用 * 并行接口电路与应用 * 串行接口电路与应用 * DMA 电路与应用 1 总线基本概念 CPU 总线 地址总线 AB CPU 存储器 数字 I/O 接口 输入出设备 模拟 I/O 接口 输入出设备 数据总线 DB

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

试卷代号 : 1061 座位号 I I I 中央广播电视大学 学年度第二学期 " 开放本科 " 期末考试 微计算机技术试题 题号 I - I 二 三 四 总 分 分数 I I I I I I 2011 年 7 月 得分 评卷人 一 选择填空 ( 每题 4 分, 共 6

试卷代号 : 1061 座位号 I I I 中央广播电视大学 学年度第二学期  开放本科  期末考试 微计算机技术试题 题号 I - I 二 三 四 总 分 分数 I I I I I I 2011 年 7 月 得分 评卷人 一 选择填空 ( 每题 4 分, 共 6 试卷代号 : 1061 座位号 I I I 中央广播电视大学 2 0 1 0-2 0 1 1 学年度第二学期 " 开放本科 " 期末考试 微计算机技术试题 题号 I - I 二 三 四 总 分 分数 I I I I I I 2011 年 7 月 得分 评卷人 一 选择填空 ( 每题 4 分, 共 6 0 分 ) 1. 最早的 IB l'v1 PC 微计算机系统使用 ( ) 作为核心微处理器 A.8086

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

习 题 一

习  题  一 第 1 页共 13 页 微机原理与接口技术 A 卷 一 填空题 ( 共计 20 分 每个空 2 分 ) 1 已知 [X] 补 =01100011B, 求 X=( ) ( 结果用十进制表示 ) [Y] 补 =11111001B, 求 Y=( ) ( 结果用十进制表示 ) 2 8088CPU 的地址总线为多少条 ( ); 直接寻址的内存空间为多少 ( ) 3 已知 DS=2000H, 内存 (20200H)=FFH,(20201H)=22H

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第九章中断与中断管理 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC 机硬件中断 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC

More information

微机原理与接口技术 郭玉洁

微机原理与接口技术 郭玉洁 微机原理与接口技术 郭玉洁 实验安排 汇编语言程序设计实验编程测验硬件接口应用实验综合应用实验 2 学时 1 学时 4 学时 4 学时 一 实验内容 二 实验目的 三 实验方法 实验报告要求 1 文字叙述设计思路 2 流程图 四 实验源程序 ( 必要的文字注释 ) 五 实验结果 六 实验中遇到的问题及解决方法 七 心得体会 ( 学会编程 调试等学习的方法 ) 汇编语言实验内容 1 学习并掌握 IDE86

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

一、单选题(本大题共15小题,每小题1分,共15分)

一、单选题(本大题共15小题,每小题1分,共15分) 北京大学信息科学技术学院考试试卷 科目 : 微机原理 A 姓名 : 学号 : 题号一二三四五六七八总分 分数 阅卷人 考试时间 : 2010 年 1 月 8 日任课教师 : 王克义装订线内请勿答题考场纪律 1. 请持学生证入场考试, 并按指定座位就座 ; 除必要的文具和教师指定的用具用书外, 其他所有物品包括手机 呼机 MP3 电子词典 书籍 笔记 纸张等严禁带入座位, 必须放在指定位置 凡有试题印制问题请向监考教师提出,

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

全国2001年10月高等教育自学考试

全国2001年10月高等教育自学考试 自考网校免费试听. 自考名师. 课件更新. 报名演示. 学习卡. 郭建华韩旺辰郝玉柱张旭娟孙茂竹白薇 最权威的师资阵容最及时的在线答疑全程视频授课, 反复观看不限次数自考 365 网校数百门课程全面招生! 基础班 + 串讲班祝您成功每一天! 全国 2001 年 10 月高等教育自学考试计算机通信接口技术试题课程代码 :02369 一 填空题 ( 每空 1 分, 共 10 分 ) 1. 在计算机通信方式中,

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 第 2 章 8086 CPU 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 1 主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 2.1 微型机的基本结构 掌握 微机系统的基本组成 微型机的工作原理 总线 接口 2015 年 3 月 9 日星期一

More information

. v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20

. v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20 Page 1 of 20 . v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20 (1) x v a (2) x v a x v (3) x v a x v a x v Page 3 of

More information

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 第 3 章 8086 的寻址方式和指令系统 (2) 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 1 3.3 8086 指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 3.3.1 数据传送指令 可实现 存储器 立即数 段寄存器 CS DS

More information

高二立體幾何

高二立體幾何 008 / 009 學 年 教 學 設 計 獎 勵 計 劃 高 二 立 體 幾 何 參 選 編 號 :C00 學 科 名 稱 : 適 用 程 度 : 高 二 簡 介 一 本 教 學 設 計 的 目 的 高 中 立 體 幾 何 的 學 習 是 學 生 較 難 理 解 而 又 非 常 重 要 的 一 個 部 分, 也 是 高 中 教 學 中 較 難 講 授 的 一 個 部 分. 像 國 內 的 聯 校

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx 第五讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 4 章寻址方式与指令系统 1 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 2 指令的组成 指令由操作码和操作数两部分组成 操作码操作数 MOV AX, 8726H ADD AX,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

PC 机中的存储器

PC 机中的存储器 计算机组成原理 第四章存储器 -DRAM llxx@ustc.edu.cn wjluo@ustc.edu.cn PC 机中的存储器 本章内容 4.1 概述 4.2 主存储器 4.3 高速缓冲存储器 4.4 辅助存储器 寄存器缓存主存磁盘磁带 4.1 概述 1. 存储器分类 2. 存储器的层次结构 存储器在计算机系统中占有重要地位 1. 当前计算机正在执行的程序和数据均存放在存储器中, CPU 直接从存储器取指令或存取数据

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

一、填空题

一、填空题 微机原理及汇编语言复习题一 选择题 1. 完整的计算机系统应包括 ( ) A. 运算器 控制器 寄存器组 总线接口 B. 外设和主机 C. 主机和应用程序 D. 配套的硬件设备和软件系统 2. 计算机系统中的存储器系统是指 ( ) A.RAM B.ROM C. 主存储器 D. 内存和外存 3. 机器语言是指 ( ) A. 用英语缩写词表示的面向机器的程序设计语言 B. 用二进制代码表示的程序设计语言

More information

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2

本章主要内容 : A 的组成与工作原理 A 的时序 A 的编程和应用举例 2 11 DMA 控制器 8237A 1 本章主要内容 : 11.1 8237A 的组成与工作原理 11.2 8237A 的时序 11.3 8237A 的编程和应用举例 2 作业 p355 1 3 5 6 3 11.1 8237A 的组成和工作原理 1 DMA 传送方式的实现方法 由专用接口芯片 DMA 控制器 ( 称 DMAC) 控制传送过程 ; 当外设需传送数据时, 通过 DMAC 向 CPU 发出总线请求

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

Microsoft PowerPoint - 微原-第3章3.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章3.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

B3C1

B3C1 - B(. AB. A( ( 3. AA PP 0 a a a 4. ( 5. Ex. ABCDEF Ans8305 Ex. ABCDE Ans00. a+ b a+ b b. a+ b = b + a a b a ( a+ b + c = a+ ( b + c a+ 0= a = 0+a a + ( a = 0 = ( a + a b a b 3. a b = a+ ( b a 4.(P AB =

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

Ps22Pdf

Ps22Pdf ) ,,, :,,,,,,, ( CIP) /. :, 2001. 9 ISBN 7-5624-2368-7.......... TU311 CIP ( 2001) 061075 ( ) : : : : * : : 174 ( A ) : 400030 : ( 023) 65102378 65105781 : ( 023) 65103686 65105565 : http: / / www. cqup.

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Ps22Pdf

Ps22Pdf 1, : ( ),?, :,,,, ( ), 1 180,, ( ) 1 1,, 2 180 ;,, 3 180 ;, n ( n - 2 ),, ( n - 2) 180 1 1, : ( ),.,, 2, (, ) 1 , 3 x + y = 14, 2 x - y = 6 : 1 ( ) : + 5 x = 20, x = 4 x = 4 y = 2, x = 4, y = 2 2 ( ) :

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

untitled

untitled 0.37kW 250kW D11.7 2009 SINAMICS G120 0.37kW 250kW SINAMICS G120 Answers for industry. SINAMICS G120 0.37kW 250kW SINAMICS G110 D 11.1 0.12 kw 3 kw CA01 MC CA01 MC CD : E20001-K20-C-V2-5D00 141-P90534-09020

More information

94/03/25 (94 0940002083 94 12 31 C 1-8 (65 29 5 15 1 2 1-23 28 24-27 k1. k1a. 1 2 3 4 k1b. 1 2 3 4 5 k1c. 1 2 ( 3 4 ( 5 k2. 1 A 2 k 3k 4 3 k3k4 k3. k3a. 1 2 3 4 ( k3b. 1 2 k3b1.? 3 ( (D4 k4. 11 12 02

More information

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 第 03 章 80X86 的寻址方式和指令 ( 本章内容 ) 3.1 指令的格式 3.2 8086/8088 的寻址方式 3.3 指令系统 3.3.1 数据传送指令 3.3.2 算术运算指令 3.3.3 逻辑运算与移位指令 3.3.4 串操作指令

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 第 3 章 8086 的寻址方式和指令系统 (1) 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 1 主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 3.1 8086 的寻址方式 两种不同的类型 : 一类是程序地址 ( 在代码段中 ) 的寻址方式 ; 另一类是操作数地址的寻址方式

More information

Microsoft PowerPoint - CH4_1

Microsoft PowerPoint - CH4_1 第四章半导体存储器 (Semi-conductor Memory) 主要内容存储介质的类别和特点半导体存储器 (ROM/RAM/FLASH)( 概念 ) * 半导体存储器连接应用 ( 时序 ) IBM-PC 系列机 MEM 的内存组织 微机系统与接口东南大学 1 CPU 微机系统结构 : 存储器与 I/O 存储器 I/O 接口 输入设备 I/O 接口 地址总线 AB 输出设备 数据总线 DB 控制总线

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

ZMF744.mps

ZMF744.mps 第 章 存储系统 学习要点 一 存储器分类及技术指标 1. 存储器分类 1 按存储介质, 分为半导体存储器 磁表面存储器 作为存储介质的基本要求是, 必须具备能够显示两个有明显区别的物理状态的性能, 分别用来表示二进制的代码 0 和 1 2 按存取方式, 分为顺序存储器 随机存储器 3 按存储器的读写功能, 分为只读存储器 (ROM) 随机存储器 (RAM) 4 按信息的可保存性, 分为非永久记忆的存储器

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

第七章 中断

第七章 中断 计算机组成原理 第五章输入输出系统 llxx@ustc.edu.cn wjluo@ustc.edu.cn 1 本章内容 I/O 设备的工作原理 键盘 显示器 打印机等 I/O 系统的工作原理 I/O 系统的构成 数据传输方式, 即 通信协议 编址方式 数据传送方式 传输同步方式 数据传输控制方式 : 程序查询 中断 DMA... 2 I/O 的本质 : 内存与外设间数据交换 现代计算机组成设备 Von

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft PowerPoint - Ch3-8086CPUæ„⁄令系ç»�(3)-æŁ°æ“®ä¼€é•†æ„⁄令

Microsoft PowerPoint - Ch3-8086CPUæ„⁄令系ç»�(3)-æŁ°æ“®ä¼€é•†æ„⁄令 2017 版 微机原理与系统设计 第 3 章 8086CPU 指令系统 董明皓, 博士 西安电子科技大学 dminghao@xidian.edu.cn 目录 (12 课时 ) 1 2 3 4 5 汇编语言基本概念 8086 指令分类数据与转移地址的寻址方式 8086 的六类指令总结 微机原理与系统设计 8086CPU 的指令系统董明皓 dminghao@xidian.edu.cn 2 基础知识引入

More information

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP 3/2 3/4 3/4 SINUMERIK OP 010 3/5 SINUMERIK OP 010S 3/6 SINUMERIK OP 010C 3/7 SINUMERIK OP 012 3/8 SINUMERIK TP 012 3/9 SINUMERIK OP 015 3/10 SINUMERIK OP 015A 3/11 SINUMERIK TP 015A 3/12 SINUMERIK OP 030

More information

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言 ABeen 汇编语言 学习摘要 ABeen 学习记录 --- 汇编语言篇 QQ: 155235900 1 / 8 一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1.

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

50~56 I1. 1 A 2 3 I2. I2a. 1 2 3 4 5 ( ) I2b. 1 2 3 I2b1. 4 5 ( ) I3. 11 12 02 ( ) 1 2 (24 ) A1. 0 1 A2 A1a. ( ) A2. ( ) () () ( ) ------------------------------------------------------------------------------------------

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

! "! #!$$%!$$% &!!$$( # ) (

! ! #!$$%!$$% &!!$$( # ) ( ! " "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$$% #$$%!!% % & %!$ ( # ) #$$% *!!% ! "! #!$$%!$$% &!!$$( # ) ( " #$ %&!#& ( )*+,* -) " " "./012 )*+ 302 4056 7+1.6 0 3*8(*/.0-96 :*+/26) -+. 80;6

More information

( m+ n) a 6 4 4 4 4 7 4 4 4 48 m n m+ n a a = a 4 a 4 3 a a 4 a 4 3 a = a 4 a 4 4 a 4 == 3 = a ma na ( m+ n) a A 0 a m a n m n a m+n 0 B a m a n m n m>n a m-n C 0 (a m ) n m n a mn D (ab) n n a n b n (

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

94/03/25 (94 0940002083 94 12 31 B 1-8 (12-64 29 5 16 82 5 15 1 2 22-24 29 25-28 k1. 1 A 2 k2k3 3 k2k3 k2. k2a. 1 2 3 4 k2b. 1 2 k2b1.? 3 k3. 11 12 02 ( ( ( 1 2 (24 A. A1.? 1 0 A1a.? 1. 1 2 2. A2. 1 2

More information

1 V = h a + ab + b 3 = 1 = 1 + = + = BAC Quod erat demonstrandum Q E D AB p( EF) p = = AB AB CD q( EF) q p q 1 p q, EF = ED BF G G BG = FG EH a = b + c a - b = c FG = BG = HG = a EF = FG - EG = a - b

More information

E170C2.PDF

E170C2.PDF IQ E170C2 2002.3. Rotork Rotork * ( ) * * RotorkIQ - IQ * * PC IQ Insight / Rotork * - Rotork IQ www.rotork.com 5 10 5.1 11 1 2 5.2 11 2 3 5.3 11 3 IQ 3 5.4 11 3.1 3 5.5 IQM12 3.2 3 5.6 IQML12 3.3 4 5.7

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

Microsoft Word - 作业2008.doc

Microsoft Word - 作业2008.doc 微机系统与接口 课程作业(2007-2008) ( 标清题号无须抄题 ) 习题一 ( 第 1 章 ) 1. 写出下列二进制数的原码 反码和补码 ( 设字长为 8 位 ): ⑴ +010111 ⑵ +101011 ⑶ -101000 ⑷ -111111 2. 当下列各二进制分别代表原码 反码和补码时, 其等效的十进制数值为多少? ⑴ 00001110 ⑵ 11111111 ⑶ 10000000 ⑷ 10000001

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

数据库系统概论

数据库系统概论 指令系统 是指 CPU 能完成的所有 指令的集合, 它是在 CPU 设计时就确定了的 所以, 对不同的 CPU, 其指令系统中所包含的具体指令将是各不相同的 但 8088/8086 CPU 的指令系统是完全一样的 8088/8086 CPU 的指令系统可分成下面 9 类 : (1) 数据传送指令 ; (2) 算术运算指令 ; (3) 逻辑运算指令 ; (4) 移位指令 ; (5) 标志处理指令和 CPU

More information

第一部分 C 语言程序设计 C 语言程序设计同步练习答案 一 单选题 1-5 DBCAC 二 判断题 1. 错 2. 错 第 1 章绪论 第 2 章 C 语言基本数据类型 运算符和表达式 一 单选题 1-5 ADADC 6-10 ADBBA ADCAD DACBD 21-25

第一部分 C 语言程序设计 C 语言程序设计同步练习答案 一 单选题 1-5 DBCAC 二 判断题 1. 错 2. 错 第 1 章绪论 第 2 章 C 语言基本数据类型 运算符和表达式 一 单选题 1-5 ADADC 6-10 ADBBA ADCAD DACBD 21-25 第一部分 C 语言程序设计 C 语言程序设计同步练习答案 一 单选题 1-5 DBCAC 二 判断题 1. 错 2. 错 第 1 章绪论 第 2 章 C 语言基本数据类型 运算符和表达式 一 单选题 1-5 ADADC 6-10 ADBBA 11-15 ADCAD 16-20 DACBD 21-25 CADBB 26-27 CA 二 填空题 1. 1 2. 81 3. 1 4. 3 5. 6 6.

More information