Microsoft Word - SZ007A_Spec_CH_V1.2_Final

Size: px
Start display at page:

Download "Microsoft Word - SZ007A_Spec_CH_V1.2_Final"

Transcription

1 SZ7A 特性性优点 应用 Applicatio 供电范围 : 4.75V~5.25V 功耗 :16mA 体积 :1.668mmx1.668mmx2.9mm 工作温度范范围 : 4 ~85 高分辨率, 动态范围大零输出漂移移低测量范围 : SZ7AC-N:±75 度 / 秒 SZ7AC-E:±15 度 / 秒 SZ7AC-R:±3 度 / 秒片内 EEPROM 调整 内置 11bits ADC 模拟输出 / 数字输出 (SPI 接口 ) 组合导航汽车横滚控控制系统机器人远程信息 产品描述 SZ7A 是一款 Z 轴角速度度传感器, 又称 Z 轴陀陀螺仪 它将将高性能的硅硅微机械传感感器和信号号处理电路集集成在单芯片片封装中 它具具有出色的温温度稳定性, 在 4 ~ 85 的工工作范围内能能保持高分辨辨率 SZ7A 内置温温度传感器, 提供与温度成正比的的电压输出 TEMP SZ7A 提供 ±75 度 / 秒 ±15 度 / 秒以及 ±3 度 / 秒三款满量程程器件供选择 ; 通过 SPI 命令, 可以以方便地读取取角速度信号号 它可处理理信号 3dB 带宽高达 75Hz SZ7A 的输出电压与绕垂垂直于安装表面的轴旋旋转的角速度度成正比 它它带一个低通通滤波器, 还有用于调调校的 EEPROM 本产品在出厂前前已调校完毕, 从而让客客户端无须调调整, 且不不需要外围有有源器件 SZ7A 采用陶瓷封装, 尺寸为 1.668mmx1.668mmx2.9mm 订单单信息 产品品编号 SZ7AC N SZ7AC E SZ7AC R 包装装码 C(CLCC32) C(CLCC32) C(CLCC32) 选择择码 N E R 量程 ±755 º /s ±15 º /s ±3 º /s Page 1 of 18 Rev 1.2

2 SZ7A 目录 1. 模块框图 SZ7A 陀螺螺仪主要性性能指标 电气特性 绝对最大定定额 引脚定义 设计说明 输出电电压 SPI 协议 ADC SPI 命令 读角速速度 读温温度 典型应用 封装 尺寸 产品标签 回流焊炉温温标准 环境兼容容性 版本信息 免责声明 Page 2 of 18 Rev 1.2

3 1. 模块框框图 SZ7A 图 1 1: 功能模块框图 Page 3 of 18 Rev 1.2

4 2. SZ7A 陀螺仪主主要性能能指标 SZ7A 工作作温度范围 : T= 4 to 85, 工作电压范围 :Vdd=4.75V ~ 5.25V 如无无特别指明, 测试条件为 : Vdd=5.VV,T=25 参数 Parameter 满量程 Full Scale Range 非线性度 Non Linearity 灵敏度 Seitivity /Scale Factor 灵敏度温漂 Scale Factor Drift 零输出 Zero Rate Output/ZRO 零输出温度度漂移 Zero Rate Temperature Drift 零输出时间稳定性 (Allan 方差 ) Zero Rate time stability based on Allan Deviation 零输出随电源变化漂移 3dB 带宽 Bandwidth( 3dB) 符号 Symbol FSin S= FSOUT/ FSin ZRO N E R 测试条条件 Test Condition 最佳适合曲线 Best fit line N 25, VDD=5V E 25, VDD=5V R 25, VDD=5V 4 ~ , VDD=5V 4 ~85 VDD=5V N VDD: V E VDD: V R VDD:4.75 ~ 5.25V 片外可选 External selectable ~ ~ 最小 Min. 典型 Typ. ±75 ±15 ±3 ± 最大 Max. 5 5 % 单位 Unit º /s º /s º /s % of FS OUT mv/ º /s LSB/ º /s mv/ º /s LSB/ º /s mv/ º /s LSB/ º /s %S V LSB %FS OUT º /h mv/v LSB/V mv/v LSB/V mv/v LSB/V Hz Page 4 of 18 Rev 1.2

5 输出噪声谱谱密度 Output Noise Deity 轴间耦合灵灵敏度 Cross seitivity 谐振频率 Resonant Frequency SZ7A º /s/sqrthz 2 % FS OUT KHz ADC 转换时间参考电压 VREF µs V 参考电压温温度系数 TC of VREF 振动灵敏度 Vibration seitivity 温度传感器器输出 Temperature Seor 温度传感器器温度系数 TC of Temperaturee Seor 4 ~ g PTP,1Hz,,7 Hz 25 4 ~ ppm/ K.1 º /s/g 2.7 V mv/ K ADC 分辨率 11 bit 上电时间 Power on Time C FLT =1nF, C 3 =1nF ms 3. 电气特特性 如无无特别指明, 测试条件为 : Vdd=5.VV,T=25 ; 项目 Symbol Vdd Idd 参数 Parameter 供电电电压电流 测试试条件 Test Condition Vdd=5.V, 不带负载 最小 Min 典型 Typ 最大 Max 单位 Unit V 2 ma 项目 Symbol 输入低电平输入高电平输出低电平输出高电平 参数 Parameter VIL VIH VOL VOH 引脚最小 Pin Min. SENB,MOSI SENB,MOSI 7%VDD MISO, ERROR, I O <8mA MISO, ERROR, 2.4 I O <8mA 典型 Typ. 最大 Max. 3% %VDD VDD.4 VDD 单位 Unit V V V V Page 5 of 18 Rev 1.2

6 SZ7A 4. 绝对最最大定额 超出出下列绝对最最大定额可能能永久性损坏芯片 将芯片片长时间放置置在绝对最大大定额条件下有可能影影响芯片的可可靠性 工作作电压工作作温度范围储存存温度范围 ESD 耐加加速度冲击值 参数 绝对最大定额.5VV ~ 7V 4 ~ ~ 125 1V ( HBM ) ±15g,.5mS, 3axis Page 6 of 18 Rev 1.2

7 SZ7A 5. 引脚定义 图 5 1: 引脚定定义 VDD VSS RES_F RES_G SCLK SENB MISO MOSI TEST ERROR SELFTE EST SZ7A SZ7N RES_G VDDA VSSA VREF ZOUT FLT TEMP HVIN (Top view) 引脚脚定义表格 : 序号 1, ,28, ,5,13,14,15,16, 17,18,19,2,31, 32 引脚名称 TOPCAP VSS VDD SCLK SENB MISO MOSI Res_G ERROR SELFTEST HVIN TEMP FLT ZOUT VREF VSSA VDDA RES_F ( 正面 top view) 引脚功能请接 GND 地电源为 SPI 串行时钟输入 ; SPI 总线使使能端 ( 高电平 : 总线无效 ; 低电平 : 总线有效 ) SPI 总线数数据线 :Master 输入,Slave 输出 ; SPI 总线数数据线 :Master 输出,Slave 输入 ; 预留, 请接地连续自测测输出自测请求求输入高电压输输出 ( 需要连接接一耐压 25V 的 1nF 的电电容 ) 温度传感感器输出外接滤波波电容 模拟角速速度输出参考电压模拟地, 请接 GND 模拟电源,5V 预留, 请悬空请接 GND Page 7 of 18 Rev 1.2

8 SZ7A 6. 设计说说明 6.1 输出电压 在 ZOUT 引脚, 可以得到模拟拟输出电压 ZOUT= Bias + Seitivity x AngularRate; 6.2 SPI 协议 SZ7A 应用四线 SPI 与外界界通信, 四根信号线为 : 串行行时钟线 :SCLK MOSI: 主设备数数据输出, 从设备数据输输入 ; MISO: 主设备数数据输入, 从设备数据输输出 ; SENB: 从设备使使能信号 ; SZ7A 只能作为为从设备工作 图 5 2 串行数据交交换时序 ( 读完整字节数数据 ) Page 8 of 18 Rev 1.2

9 图 5 2 串行数据交交换时序 ( 读高半字节数数据 ) SZ7A 图 5 4 同步数据交交换时序 参数限值 t1 3 t2 8 t3 8 t4 t5 5 t6 8 t7 5 t8 5 t9 t1 4 单位 测试条件 / 从 SENB 有效效到 SCLK 上升升沿时间 SCLK 高电平持续时间 SCLK 低电平持续时间 SENB 低电平持续时时间 SCLK 高电平持续时间 Data In 建立时间 Data In 维持时间输出出有效时间输出出建立时间输出出无效时间表 :SPI 时序要要求 6.3 ADC 通过 SPI 接口得到数字输出 : V ZOU V TEM UT (mv) =ADC c code*25/12+4; MP(mV)=ADC code*25/16+3; 命令令中使用到的的寄存器位描描述 : ADC 使能标志位 : ADEN=:ADC 在睡眠状态, 不允许 AD 转换 ; ADEN ADEN=1: 允许 AD 转换 ; 应用 ADCC 命令令可对 ADEN 置位, 上电电后 ADEN 位被清除 BUSY 在 reset 后被置位 ; 当 SZ7A 内部初始化完完成后, 该位被写成 ; BUSY 当 BUSY=1, 芯片只能送出出拒绝回答信信号 ; Page 9 of 18 Rev 1.2

10 CHAN EOC OPC CHAN 被用作 ADC 的输入通通道选择 : CHAN=1: 输入入角速度信号 ; CHAN=: 输入入温度传感器器信号 ; 应用 ADCC 命令令可对 CHAN 置位, 上电电后 CHAN 位被清除 AD 转换结束标标志位 EOC 位指示 ADC 状态 : EOC=:ADC 忙, 且不能被被重新启动 ; EOC=1:AD 转换已经结束, 可以重新启启动 ; 注意 : 只要 EOC=, 任何启动 AD 转换换的操作都被被拒绝 ; 未知操作状态位 当接收到到无法解释的的命令时,OPC 位被置高高 这些位被保留, 或具有的状状态不固定 SZ7A 6.4 SPI 命令 SZ7A 通过 STATR,ADCC, ADCR 三条指指令来实现对对内置 ADC 的控制 拒绝绝应答向 SZ7A 发送任意指令, 均会收到 SZ7A 的应答 当指令不被识别时,SZ7A 回复的应应答称为拒绝绝应答 如下表表所示, 拒绝绝应答具有固固定的格式, 最高位被置置位是拒绝应应答独有的的格式, 其它它位用来说明拒绝原因 拒绝应答 Bit15 Bit1 Bit13 Bit12 Bit11 Bit1 Bit9 Bit8 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit 1 OPC EOC BUSY 读状状态指令 (STATR) 指令 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit 1 1 应答 Bit15 Bit1 Bit13 Bit12 Bit11 Bit1 Bit9 Bit8 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit EOC CHAN ADEN ADC 控制指令 (ADCC) 指令 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit 1 1 CHAN ADEN 应答 Bit15 Bit1 Bit13 Bit12 Bit11 Bit1 Bit9 Bit8 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit EOC CHAN ADEN Page 1 of 18 Rev 1.2

11 ADC 读取指令 (ADCR) SZ7A 指令 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit 1 应答 Bit15 Bit1 Bit13 Bit12 Bit11 Bit1 Bit9 Bit8 Bit7 Bit6 Bit5 Bit4 EOC AD1 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD1:AD 为 AD 输出值, 且仅当 EOC 为 1 时有有效 Bit3 AD2 Bit2 Bit1 Bit AD1 AD 6.5 读角速度度 读温度 以下下为读取 AD 值建议流程, 其中最左位位首先被传输输 第一一步 : 设置 ADC 为工作状状态 用 SPI接口发送 ADCC 指令 (MOSI): 验证应应答第 15 位 如果第 15 位为, 说明指令已已经生效 为了使 EOC 置位, 至少延时 115us, 进入第第二步第二二步 : 开始 AD 转换 用 SPI接口发送 ADCC 指令 (MOSI): 验证应应答第 15 位 (MISO) 如果第 15 位为, 说明指令已已经生效 CHAN 位用来选择 ADC 输入源 CHAN=: 角速度信信号 CHAN=1: 温度信号 进入第第三步第三三步 : 提取转转换结果 用 SPI接口发送 ADCR 指令, 并验证第 15 位和第 13 位 如果第 15 位为, 说明指令已已经生效 如果第 13 位为, 说明 ADC 还在转换中, AD1:AD1 是无效的, 如果第 13 位为 1 说明 AD 结果是有效效的 再重复复发送 ADCR 指令之前, 需要延时 115us 返回第第二步进行下下一次取值操作, 或者进入入第四步使 ADC 进入睡眠眠模式第四四步 : 进入睡睡眠模式 用 SPI接口发送 ADCC 指令 (MOSI): 验证应应答第 15 位 (MISO) 如果第 15 位为, 说明指令已已经生效 Page 11 of 18 Rev 1.2

12 SZ7A 7. 典型应应用 图 7 1: 同时输出模模拟信号和数数字信号的应应用电路 SZ7A 图 7 2: 仅输输出模拟信号号的应用电路 SZ7A 图 7 3: 仅输输出数字信号号的应用电路 Page 12 of 18 Rev 1.2

13 SZ7A SZ7A 为了了适应 3.3V MCU 电路, 可以使用但不不局限于如下下方法 VDD_5V VDD_5V MOSI_3.3V SENB_3.3V SCLK_3.3V MISO_3.3V MOSI_3 SENB_3 SCLK _3 MISO_ A1 B1 Y1 A2 B2 Y2 GND VCC A4 B4 Y4 A3 B3 Y VDD VSS SCLK SENB MISO MOSI TESTT ERROR SELFTEST RES_F RES_G SZ7A SZ7N RES_G VDDA VSSA VREF ZOUT FLT TEMP HVIN CFLT VDD_3.3V GND VDD_3 GND D1 can be omitted R V Logic 图 7 4: 应用 3.3V 处理理器的电路 Page 13 of 18 Rev 1.2

14 SZ7A 8. 封装 8.1 尺寸 图 8 1. SZ7A 封装尺尺寸单位 : 毫米 mm ( 英寸 inch) Page 14 of 18 Rev 1.2

15 图 8 2. SZ7A 底面尺寸 图 8 3. 推荐焊盘盘图形 ( 顶视视图 ) SZ7A Page 15 of 18 Rev 1.2

16 SZ7A 8.2 产品标标签 9. 回流焊焊炉温标准 遵循 IPC/JEDEC J STD 2C 无铅 SMT 标准 ( 如图示 ) Page 16 of 18 Rev 1.2

17 SZ7A 图 9 1: 炉温曲线线标准 1. 环境兼兼容性 SZ7A 满足 RoHs 环境兼容性性要求, 无铅铅 Page 17 of 18 Rev 1.2

18 SZ7A 11. 版本信信息 日期 版本 更新新记录 2122 年 1 月 22 日 214 年 2 月 19 日 214 年 6 月 2 日 v1. V1. 1 V1.2 发行版版创建更正产产品描述更正 SZ7A 陀螺仪部分性能指指标 12. 免责声声明 深迪迪提供的信息息基于现行版版本, 是准确 可靠的 深深迪致力于提提供不断完善善的产品和服服务, 保有有修改或补充充本文件以及及相关产品的权利, 恕不另另行通知 深迪迪保留本产品品的所有相关关知识产权 未未经许可任何何人不得拷贝贝本文件或发发给第三方 如有客户户在应用本产产品过程中涉涉及侵犯他人权利, 则侵权权责任由实施施侵权行为者者承担, 深迪迪恕不负责责 Page 18 of 18 Rev 1.2

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

第五章

第五章 湯 顯 祖 牡 丹 亭 及 晚 明 時 期 改 作 與 仿 作 之 研 究 - 185 - 第 五 章 牡 丹 亭 於 晚 明 時 期 之 仿 作 牡 丹 亭 自 萬 曆 二 十 六 年 (1598A.D.) 完 成 之 後, 所 引 起 的 迴 響 不 僅 是 晚 明 文 人 為 了 演 出 需 求 之 改 編, 圍 繞 著 湯 顯 祖 及 其 劇 作 所 展 開 的, 還 有 後 世 學 者 爭

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Material

Material 东磁 MnZn 铁氧体材料主要应用 Application Area Frequency Range Material Main Features μi Bs Bs 1 Pcv Pcv 1 Tc( ) 28

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc ofired eramics Series UUNG-G HNOOGY OF RF SOUION Feature 特点 低温共烧陶瓷 ompact Size 体积小 Miniaturized SM packaged in low profile and lightweight. ow oss 低 ow insertion loss, high attenuation. High Soldering

More information

1-S40A...-1 DAT00452 V.005

1-S40A...-1 DAT00452 V.005 1. 1-S40A -1 Technical data: DATA SHEET Technical data Unit 1-S40A -1 OIML R60 D1 C3 Emax Max. capacity Kg 50,100,200,500 50,100,200,500 t 1, 2, 3, 5 1, 2, 3, 5 vmin % of Cn 0.0286 0.0120 Sensitivity mv/v

More information

Microsoft Word - 〈出師表〉補充講義-1214.doc

Microsoft Word - 〈出師表〉補充講義-1214.doc 出 師 表 補 充 講 義 更 新 版 出 師 表 補 充 講 義 一 關 於 作 者 ---- 孔 明 (AD181~AD234): ( 一 ) 字 號 : 字 孔 明 ( 二 ) 籍 貫 : 瑯 琊 郡 陽 都 縣 ( 山 東 沂 南 市 ) ( 三 ) 諸 葛 家 世 簡 圖 諸 葛 珪 諸 葛 謹 ( 仕 吳 ) 恪 喬 ( 亮 之 養 子 ) 諸 葛 玄 融 諸 葛 亮 ( 仕 蜀 ) 喬

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

1.

1. 1. 2. SCADA SCADA SCADA GIS GPRS RTU SCADA SCADA GIS MIS ; SCADA MIS 3. MIS GIS+SCADA 1 2 3 N N1 SCADA 1. SCADA( GIS ) MIS 1 2 GPS 1 10M/100M 2 1 2 1 2 1 2 2. SCADA B/S 3. SCADA 3.1 SCADA 3.1.1 ( ) : (1)

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

目 錄 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要... 1 普 通 高 級 中 學 選 修 科 目 歷 史 課 程 綱 要... 25 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要 微 調 修 訂 對 照 表... 41 普 通 高 級 中 學 選 修 科 目

目 錄 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要... 1 普 通 高 級 中 學 選 修 科 目 歷 史 課 程 綱 要... 25 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要 微 調 修 訂 對 照 表... 41 普 通 高 級 中 學 選 修 科 目 普 通 高 級 中 學 課 程 綱 要 歷 史 科 中 華 民 國 103 年 2 月 目 錄 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要... 1 普 通 高 級 中 學 選 修 科 目 歷 史 課 程 綱 要... 25 普 通 高 級 中 學 必 修 科 目 歷 史 課 程 綱 要 微 調 修 訂 對 照 表... 41 普 通 高 級 中 學 選 修 科 目 歷 史 課

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

MSDD28S12MMT-XX

MSDD28S12MMT-XX www.magicmodule.com.cn MSDD28S12MMT90 MSDD28S12MMT50L MSDD28S12MMT60H 隔离离式直流流电压转转换器 产品特特点及应应用 外形尺寸 ( L W H):3 35.3 25.1 12.7mm 宽电压输入入范围, 至少 1500V 隔离离电压最大输出功功率达 90W 最高 92% 的转换效率高可靠性指指标 :MTBF 3.2 10 6 h

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

GrilleEcriture400CaracteresChinois

GrilleEcriture400CaracteresChinois 1 de 的的的的 Grille d'écriture des 400 caractères chinois les plus fréquents (1-10) 2 yī 一一一一 3 是是是是 shì 4 不不不不 bù 5 le 了了了了 6 人人人人 rén 7 我我我我 wǒ 8 在在在在 zài 9 有有有有 yǒu 10 tā 他他他他 汉字练习 16.01.2007 http://perso.orange.fr/mementoslangues/

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

¹ ¹ ¹ ¹ ¹ º ¹ ¹ º» ¼ ½ ¹ º» ¼ ½ ¹ ¹ ¹ ¹ ¹ ¹ º» ¹ º» º ¹ º ¹ º» ¼ ¹» ¼ ¹ º ¹º ¹ º ¹ º ¹ ¹ ¹ º» ¼ ¹ º» ¼ ¹ º» ¹ º» ¹ º» ¼ ¹» ¼ ¹ ¹ ¹ º ¹ º º ¼ ¹ º» ¼ ¹ º ¹ º ¹ º ¹ º ¹ º ¹ ¹ º» ¹ º» ¹ º» ¹ º ¹ ¹ ¹

More information

untitled

untitled 1 2 3 4 5 6 1 7 8 9 10 11 12 2 13 2007 14 15 16 17 18 3 19 20 21 22 23 24 4 25 26 27 28 29 30 5 31 32 33 34 35 36 6 37 38 39 40 2007 41 42 7 43 44 45 46 47 48 8 49 50 51 52 º º º º º 53 54 2007 9 55 56

More information