70638A.book

Size: px
Start display at page:

Download "70638A.book"

Transcription

1 AN1078 调整指南 1.1 了解所使用的 PMSM 本文档介绍了使用 FOC 算法实现永磁同步电机 (Permanent Magnet Synchronous Motor, PMSM) 调整所需的步骤和设置, 该算法如 AN1078 PMSM 电机的无传感器磁场定向控制 (DS01078A_CN) 中所介绍 由于不同电机存在参数差异, 因此需针对每种新的电机模型对该算法进行调整 在使用 FOC 算法运行一台电机前, 用户必须确定该算法是否支持其所使用的电机 FOC 算法只适用于正弦波反电动势的永磁同步电机 图 1-1 给出了如何检测 PMSM 反电动势的安装示意图, 包括待测的 PMSM 以及通过连轴器与之连接的另一台驱动电机 为观察反电动势的波形, 使驱动电机运行于固定速度 ( 例如, 2000 RPM), 并在示波器上观察其中两相反电动势的波形 图 1-1: 电机反电动势的检测 将任意两相绕组连接到示波器 驱动电机 机械耦合 待测 PMSM DS70638A_CN 第 1 页

2 1.2 在 dspicdem MCLV 开发板上成功测试的电机 下列电机均在 dspicdem MCLV 开发板上成功通过测试 : Hurst 电机 ( 部件编号 :AC300020, 可从 购买 ) 电机及其反电动势波形如图 1-2 所示 该电机的额定值是 24V, 5 对极, 2500 RPM 关于该电机的其他技术规范, 可访问 伺服电机伺服电机及其反电动势波形如图 1-2 所示 电机的额定值是 24V, 2 对极, 3000 RPM 关于该电机的其他技术规范, 可访问 这些在 dspicdem MCLV 开发板上采用 FOC 算法成功测试的电机都是反电动势为正弦波的永磁同步电机 图 1-2: HURST 和伺服电机反电动势波形 Hurst 电机 反电动势波形 伺服电机 反电动势波形 DS70638A_CN 第 2 页

3 AN1078 调整指南 1.3 在 dspicdem MCHV 开发板上成功测试的电机 下列电机均在 dspicdem MCLV 开发板上成功通过测试 : 喷涂机电机 ( 定制电机 ) 喷涂机应用的电机及其反电动势波形如图 1-3 所示 该电机额定值是 160 VDC, 3 对极, 4000 RPM Dia-80 电机 Dia-80 电机及其反电动势波形如图 1-3 所示 该电机的额定值是 220V, 2 对极, 3500 RPM 关于该电机的其他技术规范, 请访问 图 1-3: 喷涂机电机和 DIA-80 电机的反电动势波形 喷涂机电机 反电动势波形 Dia-80 电机 反电动势波形 Dia-YS50 电机 Dia-YS50 电机及其反电动势波形如图 1-4 所示 该电机额定值为 220V ( 有效值 ), 2 对极, 4000 RPM 专业手持工具电机图 1-4 中第二个电机即为专业手持工具电机应用及其反电动势波形 该电机额定值是 120V ( 有效值 ), 两对极, 17,000 RPM 在 dspicdem MCHV 开发板上采用 FOC 算法成功通过测试的每一种电机皆为反电动势为正弦波的永磁同步电机 DS70638A_CN 第 3 页

4 图 1-4: DIA-YS50 和手持工具电机反电动势波形 Dia-YS50 电机 反电动势波形 手持工具电机 反电动势波形 DS70638A_CN 第 4 页

5 AN1078 调整指南 1.4 不适合用 FOC 算法运行的电机 本节介绍的是无法在 FOC 算法下运行的电机 图 1-5 所示为波形是梯形波的电机 反电动势是梯形波的无刷直流电机 (Brushless Direct Current, BLDC) 无法在 FOC 算法下运行 这些电机的反电动势波形不是正弦波, 因此, 它们不能运行至额定转速或无法在闭环控制时稳定运行 图 1-5: 电机梯形波反电动势波形 图 1-6 所示为非正弦波电机反电动势波形 反电动势波形不是正弦波的无刷直流电机无法在 FOC 算法下运行 图 1-6: 电机非正弦波反电动势波形 DS70638A_CN 第 5 页

6 1.5 硬件参数设置 硬件参数 :RSHUNT DIFFAMPGAIN 以及 VDD 都位于 UserParms.h 文件中 该文件中的参数根据硬件设计不同而有所变化 例 1-1 展示了 dspicdem MCLV 和 MCHV 开发板的硬件参数设置 例 1-1: 硬件参数设置 dspicdem MCLV 开发板 电流检测电阻 差分放大器增益 VDD ( 伏特 ) dspicdem MCHV 开发板 图 1-7 展示了如何在 dspicdem MCLV 和 MCHV 开发板中接入电流检测电阻以及其在 FOC 算法中使用的值 关于 dspicdem MCLV 和 MCHV 开发板原理图的信息, 可参见 dspicdem MCLV Development Board User s Guide (DS70331) 和 dspicdem MCHV Development System User s Guide (DS70605) 图 1-7: 电流检测电阻连接 dspicdem MCLV 开发板 #define RSHUNT dspicdem MCHV 开发板 #define RSHUNT 0.01 DS70638A_CN 第 6 页

7 AN1078 调整指南 运算放大器用来放大电流检测信号 用户应根据硬件设定放大器增益值, 为 UserParms.h 文件中的 DIFFAMPGAIN 参数输入正确的值, 如图 1-8 所示 图 1-8: 差分放大器增益的计算 #define DIFFAMPGAIN 75 dspicdem MCLV 开发板 增益 = R20/(R22 + R23) #define DIFFAMPGAIN 10 dspicdem MCHV 开发板 增益 = R39/(R40 + R41) DS70638A_CN 第 7 页

8 1.6 启动参数的设置 针对不同电机, 启动参数值有所不同, 其具体取值依赖于电机惯量 摩擦系数和负载转矩 用户必须微调这些值, 使电机运行符合要求 启动参数的设置如例 1-2 所示 例 1-2: 启动参数设置 锁定时间 ( 秒 ) 开环斜坡上升时间 ( 秒 ) 起始转矩给定 ( 安培 ) DS70638A_CN 第 8 页

9 AN1078 调整指南 示波器所捕获的启动参数如图 1-9 至图 1-11 图 1-9: 锁定时间 (0.25 秒 ) #define LOCKTIMEINSEC 0.25 电机在该处开始运行 0.25 秒 锁定时间应足以让电机完成锁定并达到稳定转速 在锁定时间结束时转子不应出现振荡 ; 如果转子振荡, 就应增加锁定时间 图 1-10: 开环时间 (5.0 秒 ) #define OPENLOOPTIMEINSEC 秒 开环时间应足够长, 使得转子能够跟随定子换相直至达到开环最终转速 (MINSPEEDINRPM) 如果没有达到, 应增加开环时间 DS70638A_CN 第 9 页

10 为了让转子与旋转的定子磁通同步, 可以把斜坡时间设置为一个更大值 当电机带负载运行时, 需要对斜坡时间进行调整 当电机转速斜坡上升到超过某个特定值时, 若将初始转矩的给定值设置得低于要求值将会导致电机停转 在这种情况下, 应当增加转矩的给定值 如果设置的转矩给定值高于要求值, 将会导致电机的步进旋转 在这种情况下, 就应减小转矩的给定值 将转矩给定值设置得很高可能会损坏电路板 图 1-11 所示初始转矩给定值设置为 1A 图 1-11: 初始转矩给定值为 1A #define INITIALTORQUE 1.0 每 1.0A 100 mv 当电机开始运行时, 初始转矩给定值应当足够大以带动负载 应确保硬件可支持所要求的转矩设置 启动时初始转矩给定值设置为 1.0, 之后每次试启动都将这个值加倍直到斜升时间结束时转子和定子磁场转速达到同步 DS70638A_CN 第 10 页

11 AN1078 调整指南 1.7 电机参数的设置 电机参数 :POLEPAIRS PHASERES PHASEIND NOMINALSPEEDINRPM 和 MINSPEEDINRPM 都位于 UserParms.h 文件中 电机参数依赖于电机的规范, 当测试不同的电机时, 应当更新电机参数值 电机参数的设置如例 1-3 所示 例 1-3: 电机参数设置 极对数相电阻相电感标称转速 (RPM) 最低期望转速 (RPM) 采用弱磁运行的最高期望转速 (RPM) 电机的极对数可从电机规范表中获取 也可通过以恒定的转速驱动电机 ( 例如使用另一台电机拖动 ) 并测量反电动势的频率获得 使用测量得到的频率值, 经过公式 1-1 的计算即可得出极对数 公式 1-1: PolePair = 60 Frequency in Hertz Speed in RPM 机械转速和极数的关系如图 1-12 所示 图 1-12: 机械转速和极数的关系 机械转速 1 转 #define POLEPAIRS 5 DS70638A_CN 第 11 页

12 电机的相电阻和相电感的测量方法如下 : 相电阻 用万用表测量永磁同步电机两相绕组间的直流电阻值 将测得的电阻值代入下列公式 : PHASERES = 电阻测量值 /2 相电感 使用 LCR 表测量永磁同步电机 1kHz 时两相绕组间的电感 将所测得的电感值代入下面的公式 : PHASEIND = 电感测量值 /2 这些值也可以在制造商的电机规范中找到 测量点如图 1-13 所示 图 1-13: 通过线 - 线值测量相值 #define PHASERES ((float)2.67) #define PHASEIND ((float) ) A Hurst 电机参数值 R S L S L S L S R S B R S C 电机的额定转速可从电机规范表中获得 图 1-14 所示为电机运行在标称转速 3000 RPM 时的波形 DS70638A_CN 第 12 页

13 AN1078 调整指南 图 1-14: 电机以 3000 RPM 运行 #define NOMINALSPEEDINRPM Hz = 50 转每秒 =3000RPM MINSPEEDINRPM 是电机采用 FOC 算法运行能符合要求的最低转速 该参数可能会根据电机种类和负载转矩的不同而有所变化 图 1-15 所示为电机运行在最低转速 500 RPM 时的波形 最初, 可将该值设置为电机额定转速的 10% 到 15% 之间, 之后微调这个值 DS70638A_CN 第 13 页

14 图 1-15: 电机以 500 RPM 运行 #define MINSPEEDINRPM Hz = 8.4 转每秒 = 504 RPM FIELDWEAKSPEED 是电机的最大期望转速, 该速度下电机应运行在弱磁模式 如果不要求电机弱磁运行, 设置 FIELDWEAKSPEED 的值与 NOMINALSPEEDINRPM 相同 图 1-16 所示为弱磁模式下电机转速为 5500 RPM 时的波形 图 1-16: 弱磁模式下电机运行在 5500 RPM #define FIELDWEAKSPEEDRPM Hz = 5490 RPM DS70638A_CN 第 14 页

15 AN1078 调整指南 1.8 PMSM FOC 调整步骤 ( 开环 ) 第一步是禁止从开环过渡到闭环模式, 这样用户可以通过使用示波器或数据监视控制界面 (DMCI) 观测电机电流波形 通过注释例 1-4 中高亮显示的行, 电机将保持开环运行状态, 并允许用户对斜坡参数进行分析 例 1-4: 电机开环运行设置 DS70638A_CN 第 15 页

16 1.8.1 开环启动 dspicdem MCLV 开发板 1. 按图 1-17 所示把电机各相与 dspicdem MCLV 开发板连接 图 1-17: dspicdem MCLV 开发板和电机的连接 S2 按钮 电机连接器 2. 使用 AN1078 对应的代码对 dspic DSC 进行编程 3. 按下 S2 按钮使电机开环运行 开环启动 dspicdem MCHV 开发板 1. 将电机各相与 dspicdem MCHV 开发板连接 2. 使用 AN1078 对应的代码对 dspic DSC 进行编程 3. 按下 PUSHBUTTON 按钮使电机开环运行, 如图 1-18 所示 图 1-18: dspicdem MCHV 开发板 电机应处于开环状态并保持固定的电流幅值 如果开环启动时电流振荡 ( 见图 1-19), 调整 ID 和 IQ 控制器的 PI 系数来消除振荡 减小比例增益 (Kp) 并确保积分增益 (Ki) 比 Kp 小 5 到 10 倍 图 1-19 显示了电流振荡和相应的 PI 系数值 DS70638A_CN 第 16 页

17 AN1078 调整指南 图 1-19: 电流波形的振荡 在开环斜坡上升过程中如果电机停止运行, 用户应该增加斜坡上升时间 一旦电机可以运行到斜坡时间结束时, 应略微增加初始转矩电流并减小斜坡时间直到电机运行符合启动要求 如果转子在电机通电时发生振荡并引起电机反转, 则需增加锁定时间 图 1-20 所示为电机开环运行时的电流波形 锁定时间 斜坡时间和转矩给定值在电流波形中都有所显示 图 1-20: 完成调整的开环电机电流波形 配置启动转矩电流 配置斜坡时间 配置锁定时间 使能数据监视和控制界面 (DMCI)/ 实时数据监视 (RTDM) 变量 启用 Ialpha 估计的 Ialpha Ibeta 和估计的 Ibeta 以确保滑模控制器 (SMC) 能够跟踪测量电流 例 1-5 给出了实现用 RTDM/DMCI 查看变量的代码设置 DS70638A_CN 第 17 页

18 例 1-5: 为用 RTDM 查看变量进行的代码设置 在这里输入变量名 运行电机并通过 DMCI 捕获数据 电流的估计值必须跟踪测量值, 电流估计值的纹波应该在测量电流峰峰 - 值的 10% 到 30% 之间 实际电流 ( 红线和绿线 ) 和估计电流 ( 蓝线和黄线 ) 的波形如图 1-21 所示 估计电流的纹波值应该在测得电流的 10% 到 30% 之间 否则, 调整 D 轴和 Q 轴的 PI 增益 图 1-21: I 实际和估计的电流波形 I* I I* 启用 Ialpha Ealpha EalphaFinal 和 Theta 来检查位置估计结果 例 1-6 显示了如何使用 RTDM 工具来设置代码以实现不同变量的查看 DS70638A_CN 第 18 页

19 AN1078 调整指南 例 1-6: 设置代码来实现用 RTDM 进行变量查看 图 1-22 显示了四个不同波形间的关系 相位差异是由于每个信号正交特性或滤波器的相位延迟所造成 不同的波形如下所示 : 绿色和红色分别是 Ealpha 和 Ebeta 波形, 它们相位相差 90 o 蓝色波形是 EalphaFinal EalphaFinal 和 EbetaFinal ( 图中没有画出 ) 相位相差 90 o Ealpha 和 EalphaFinal 相位相差 45 o 黄色波形是估计的 Theta 波形 图 1-22: 不同波形的关系 E E E 最终值估计的 Theta 确保最终反电动势没有噪声和直流偏移 Theta 估计值是利用 CORDIC 函数由 EalphaFinal 和 EbetaFinal 计算所得 EalphaFinal 和 EbetaFinal 的波形应具有较小的噪声, 这样才能估计出较为理想的 Theta 波形 接下来, 应对 SMC 参数进行修改 所有的控制器参数都在 UserParms.h 文件中 例 1-7 所示为 SMC 的增益和线性区域的设置 DS70638A_CN 第 19 页

20 例 1-7: 滑模控制器的设置 滑模控制器增益 线性 SMC 窗口 图 1-23 所示的 SMC 框图分别将增益和线性区域设置为 0.85 和 0.01 图 1-23: 滑模控制器框图 硬件 V s PMSM I S Z + K - (I S - I* S ) -M -K M (I S - I* S ) I* S -----i d dt s = R L --i 1 s + -- L v s e s z 其中 : K=#define SMCGAIN 0.85 M=#define MAXLINEARSMC 0.01 * = 估计变量 Z = K, if (I s - I* s ) > M -K, if (I s - I* s ) < -M (I s - I* s ) * K/M, if -M < (I s - I* s ) < M 图 1-24 所示为估计电流波形与实际电流波形的对比 图 1-24: 估计电流与实际电流 #define SMCGAIN 0.85 I* I DS70638A_CN 第 20 页

21 AN1078 调整指南 电流的估计值必须跟踪测量值, 应对估计电流纹波值进行调整使其位于测量电流峰 - 峰值的 10% 到 30% 之间 将 MAXLINEARSMC 的值设为 可以更平稳地追踪相同峰 - 峰值的估计纹波 图 1-25 所示为不同 MAXLINEARSMC 值时的估计电流波形 最佳的 MAXLINEARSMC 值将会显著减小估计电流的纹波峰值 图 1-25: 滑模估计器输出 #define MAXLINEARSMC #define MAXLINEARSMC 图 1-26 所示为滤波造成的相位延迟 不同波形的描述如下 : smc1.zalpha 是实际信号 smc1.ealpha 信号是由 smc1.zalpha 经截止频率等于输入频率的单极点数字低通滤波器滤波获得 因此, 两个信号间有 45 o 相位差 smc1.ealphafinal 信号是由 smc1.ealpha 经截止频率等于输入频率的单极点数字低通滤波器滤波获得 因此, 两个信号间具有 45 o 相位差 最后, 信号 smc1.zalpha 和 smc1.ealphafinal 之间总共具有 90 o 相位差 图 1-26: 滤波引起的相位延迟滤波延迟 =90 o smc1.zalpha smc1.ealpha smc1.ealphafinal DS70638A_CN 第 21 页

22 1.9 PMSM FOC 调整步骤 ( 闭环模式 ) 通过取消例 1-8 中高亮语句的注释, 可以启动电机闭环控制 开环转速斜坡上升后, 电机将使用估计的 Theta 实现闭环模式运行 例 1-8: 启用闭环模式 闭环启动 dspicdem MCLV 开发板 1. 逆时针 (CCW) 旋转电位器 (POT1) 来设置最小转速 2. 使用更新后的软件程序对 dspic DSC 编程 3. 按下 S2 按钮使电机开环运行, 如图 1-27 所示 电机转速经斜坡上升后, 电机将在 FOC 算法控制下自动进入闭环模式 图 1-27: dspicdem MCLV 开发板 S2 按钮 POT1, CCW 位置 电位器用作转速的参考输入, S2 按钮控制电机的运行 / 停止 DS70638A_CN 第 22 页

23 AN1078 调整指南 闭环启动 dspicdem MCHV 开发板 1. 逆时针 (CCW) 旋转电位器 (POT) 来设置最小转速 2. 用更新后的软件程序对 dspic DSC 编程 3. 按下 PUSHBUTTON 按钮使电机开环运行 斜坡上升后, 电机将在 FOC 算法控制下自动进入闭环模式 图 1-28 中所示的电位器用作转速参考输入, 按钮开关控制电机的运行 / 停止 图 1-28: dspicdem MCHV 开发板 DS70638A_CN 第 23 页

24 图 1-29 说明了闭环运行电机应遵循的步骤 第一步, 按下 S2 按钮, 电机自锁 第二步, 开始电机转速斜坡上升且频率线性增长 第三步, 斜坡上升结束且电机开始闭环运行 在斜升过程中, 计算估计的 Theta 值并在过渡到闭环模式的过程中使用该值 图 1-29: 闭环模式运行电机 开环 500 RPM,1.0 A 闭环 500 RPM, 电流取决于负载 1. 按下 S2 按钮, 电机将在特定位置被通电, 其持续时间由 Lock Time 指定 2. 在 Lock Time 结束时, 电机转速将以斜坡方式从 0RPM 上升到最小转速 该时间由 OpenLoop 时间 指定 3. 在斜坡上升结束时, 将根据估计的 Theta 进行换相控制 闭环模式下调整 ID 和 IQ 的 PI 增益 通过顺时针 (CW) 旋转电位器 (POT) 增加转速参考值来验证电流的稳定性 电流应稳定, 如果需要, 调整 ID 和 IQ 轴的 PI 增益和 SMC 估计器的增益 电机转速从 500 到 3000 RPM 的反电动势波形如图 1-30 所示 图 1-30: 电机转速从 500 上升到 3000 RPM 的反电动势波形 500 RPM 3000 RPM DS70638A_CN 第 24 页

25 AN1078 调整指南 调整瞬态响应 图 1-31 显示如何用 dspicdem MCLV 开发板检测电机的瞬态响应和 FOC 算法 按下 S3 按钮, 电机给定转速加倍, 通过示波器可以观察 FOC 算法下的响应 对于 dspicdem MCHV 开发板, 不可进行该步操作, 因为它没有给定转速加倍开关 图 1-31: 电机的瞬态响应 由于弱磁运行, 电流增加 3000 RPM 5500 RPM 软件电流增益调整 如例 1-9 所示, 根据硬件设计调整软件电流增益 根据硬件设计修改 UserParms.h 文件中的 ADC 量程变换参数 (DQKA 和 DQKB) 如果 ADC 结果是小数, 可通过修改量程变换参数和硬件设置来实现 ADC 的满量程读数, 其分辨率为最大输入电流的 ±0.5% 之内 例 1-9: 电流信号的软件增益 转矩模式下的调整如果电机的开环性能良好, 但在闭环运行时不能自锁, 可尝试在转矩模式下运行电机 如例 1-10 所示, 为了让电机在转矩模式下运行, 取消 UserParms.h 文件中对 TORQUEMODE 的定义的注释 转矩模式省略了速度 PI 环, 将电位器的输入作为转矩设置 转矩模式的微调是指在闭环模式下对 ID 和 IQ 的 PI 增益进行调整以获得平滑的电流控制 一旦微调完成, 就通过注释 TORQUEMODE 定义使电机在 Speed 模式下运行 DS70638A_CN 第 25 页

26 例 1-10: 转矩模式下运行电机的代码设置 电机电阻和电感参数的换算 对于某些电机, 只有根据硬件的最大电流检测能力 (Imax/Vrated) 选择电机相电阻和相电感, 才能实现闭环运行 dspicdem MCLV 和 MCHV 开发板的 Imax 值分别为 4.4A 和 16.5A 电机的额定电压可查询电机的规范表 如果电机闭环运行时仍不能自锁, 将相电阻和相电感的电压电流值换算至最大 MCLV 和 MCHV 开发板的最大电流计算公式分别如公式 1-2 和公式 1-3 所示 公式 1-2: dspicdem MCLV 开发板 Rshunt = 0.005, VDD = 3.3V, 增益 = 75 最大电流 = (3.3/2)/(0.005 * 75) = 4.4A 公式 1-3: dspicdem MCHV 开发板 Rshunt = 0.01, VDD = 3.3V, 增益 = 10 最大电流 = (3.3/2)/(0.01 * 10) = 16.5A 对 dspicdem MCLV 开发板和 24V 电机的相电阻和相电感的换算如例 1-11 所示 对于 dspicdem MCHV 开发板, 用 16.5A 替代最大电流, 而电压则应根据具体应用进行设置 使用 RMS 值作为电机的交流额定值 例 1-11: 电阻和电感的换算 一些电机由于其特殊的设计, 无论是否按照上文所提到的步骤调整, 闭环控制时均无法实现自锁 运行这类电机时, 减小相电阻和相电感的值, 使之小于 UserParms.h 文件中的测量值, 并通过使用 DMCI/RTDM 来查看 PMSM.c 文件中 Theta_error 值是否也相应减小 Theta_error 的值应持续减小直到能够使电机闭环运行 例 1-12 所示为 PMSM.c 文件中的 Theta_error DS70638A_CN 第 26 页

27 AN1078 调整指南 例 1-12: PMSM.C 文件中的 Theta_Error UserParms.h PMSM.c 小电感电机的调整 当控制一个电感小于 100 H 的小型电机时, 提高 PWM 的开关频率是有利的 这将使控制更平滑, 同时可以降低噪音 对于低电感电机,PWM 开关时电流波形会出现尖峰, 导致 ADC 不能有效测量电流 例 1-13 所示为在 Userparms.h 文件中设置 PWM 频率 例 1-13: 在文件 UserParms.h 中设置 PWM 频率 位于 UserParms.h 文件中的弱磁表如例 1-14 所示, 其取值需要根据不同电机更改 DS70638A_CN 第 27 页

28 1.9.9 弱磁调整 例 1-14: 弱磁参数表 dspicdem MCLV 开发板的最大电流参考值是 4.4A, 对于 dspicdem MCHV 开发板该值是 16.5A 表中的第一个值始终是零, 这意味着在该转速下 ( 对永磁同步电机而言 ) 没有进行弱磁 通过实验尝试输入一个合适的负电流值, 以符合 FW 速度的要求 警告 通常, 电机制造商给出的最大转速是在电机没有损坏的情况下可达到的 ( 可能比额定电流下的制动点转速还要高 ) 否则, 电机可能运行在更高的转速, 但只能是短期的 ( 间歇的 ), 并可能导致电机去磁 机械损坏或与之相连设备的机械损坏的风险 弱磁模式下, 电机运行速度高于标称转速, 如果出现角度计算错误导致控制器失效, 那么可能导致变频器损坏 原因是反电动势 (BEMF) 的值将远大于标称转速下的值, 从而超过直流母线电压值, 而变频器的功率半导体器件和直流母线电容将不得不承受这个值 由于达到最佳状态之前, 调整意味着对迭代系数的校正, 因此应对变频器的相应保护电路进行调整使之能承受更高电压以防止高速旋转时出现停转 DS70638A_CN 第 28 页

29 AN1078 调整指南 1.10 总结 本文提供的调整技术可使 FOC 算法适用于任何永磁同步电机 该算法在 AN1078 PMSM 电机的无传感器磁场定向控制 (DS01078A_CN) 中有所介绍 许多不同电机的调整是在上述技术基础上发展实现的, 因此 AN1078 中的 FOC 算法和本文调整技术的有效结合, 可满足绝大部分 PMSM 的控制需求 文中所讨论的调整技术将有助于减少新项目开发中所花费的时间和精力 DS70638A_CN 第 29 页

30 注 : DS70638A_CN 第 30 页

31 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意 甚至是非法破坏代码保护功能的行为 就我们所知, 所有这些行为都不是以 Microchip 数据手册中规定的操作规范来使用 Microchip 产品的 这样做的人极可能侵犯了知识产权 Microchip 愿与那些注重代码完整性的客户合作 Microchip 或任何其他半导体厂商均无法保证其代码的安全性 代码保护并不意味着我们保证产品是 牢不可破 的 代码保护功能处于持续发展中 Microchip 承诺将不断改进产品的代码保护功能 任何试图破坏 Microchip 代码保护功能的行为均可视为违反了 数字器件千年版权法案 (Digital Millennium Copyright Act) 如果这种行为导致他人在未经授权的情况下, 能访问您的软件或其他受版权保护的成果, 您有权依据该法案提起诉讼, 从而制止这种行为 提供本文档的中文版本仅为了便于理解 请勿忽视文档中包含的英文部分, 因为其中提供了有关 Microchip 产品性能和使用情况的有用信息 Microchip Technology Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Microchip Technology Inc. 的英文原版文档 本出版物中所述的器件应用信息及其他类似内容仅为您提供便利, 它们可能由更新之信息所替代 确保应用符合技术规范, 是您自身应负的责任 Microchip 对这些信息不作任何明示或暗示 书面或口头 法定或其他形式的声明或担保, 包括但不限于针对其使用情况 质量 性能 适销性或特定用途的适用性的声明或担保 Microchip 对因这些信息及使用这些信息而引起的后果不承担任何责任 如果将 Microchip 器件用于生命维持和 / 或生命安全应用, 一切风险由买方自负 买方同意在由此引发任何一切伤害 索赔 诉讼或费用时, 会维护和保障 Microchip 免于承担法律责任, 并加以赔偿 在 Microchip 知识产权保护下, 不得暗中或以其他方式转让任何许可证 商标 Microchip 的名称和徽标组合 Microchip 徽标 dspic KEELOQ KEELOQ 徽标 MPLAB PIC PICmicro PICSTART PIC 32 徽标 rfpic 和 UNI/O 均为 Microchip Technology Inc. 在美国和其他国家或地区的注册商标 FilterLab Hampshire HI-TECH C Linear Active Thermistor MXDEV MXLAB SEEVAL 和 The Embedded Control Solutions Company 均为 Microchip Technology Inc. 在美国的注册商标 Analog-for-the-Digital Age Application Maestro CodeGuard dspicdem dspicdem.net dspicworks dsspeak ECAN ECONOMONITOR FanSense HI-TIDE In-Circuit Serial Programming ICSP Mindi MiWi MPASM MPLAB Certified 徽标 MPLIB MPLINK mtouch Omniscient Code Generation PICC PICC-18 PICDEM PICDEM.net PICkit PICtail REAL ICE rflab Select Mode Total Endurance TSHARC UniWinDriver WiperLock 和 ZENA 均为 Microchip Technology Inc. 在美国和其他国家或地区的商标 SQTP 是 Microchip Technology Inc. 在美国的服务标记 在此提及的所有其他商标均为各持有公司所有 2010, Microchip Technology Inc. 版权所有 ISBN: Microchip 位于美国亚利桑那州 Chandler 和 Tempe 与位于俄勒冈州 Gresham 的全球总部 设计和晶圆生产厂及位于美国加利福尼亚州和印度的设计中心均通过了 ISO/TS-16949:2002 认证 公司在 PIC MCU 与 dspic DSC KEELOQ 跳码器件 串行 EEPROM 单片机外设 非易失性存储器和模拟产品方面的质量体系流程均符合 ISO/TS :2002 此外, Microchip 在开发系统的设计和生产方面的质量体系也已通过了 ISO 9001:2000 认证 DS70638A_CN 第 31 页

32 全球销售及服务网点 美洲 亚太地区 亚太地区 欧洲 公司总部 Corporate Office 2355 West Chandler Blvd. Chandler, AZ Tel: Fax: 技术支持 : 网址 : 亚特兰大 Atlanta Duluth, GA Tel: Fax: 波士顿 Boston Westborough, MA Tel: Fax: 芝加哥 Chicago Itasca, IL Tel: Fax: 克里夫兰 Cleveland Independence, OH Tel: Fax: 达拉斯 Dallas Addison, TX Tel: Fax: 底特律 Detroit Farmington Hills, MI Tel: Fax: 科科莫 Kokomo Kokomo, IN Tel: Fax: 洛杉矶 Los Angeles Mission Viejo, CA Tel: Fax: 圣克拉拉 Santa Clara Santa Clara, CA Tel: Fax: 亚太总部 Asia Pacific Office Suites , 37th Floor Tower 6, The Gateway Harbour City, Kowloon Hong Kong Tel: Fax: 中国 - 北京 Tel: Fax: 中国 - 成都 Tel: Fax: 中国 - 重庆 Tel: Fax: 中国 - 香港特别行政区 Tel: Fax: 中国 - 南京 Tel: Fax: 中国 - 青岛 Tel: Fax: 中国 - 上海 Tel: Fax: 中国 - 沈阳 Tel: Fax: 中国 - 深圳 Tel: Fax: 中国 - 武汉 Tel: Fax: 中国 - 西安 Tel: Fax: 中国 - 厦门 Tel: Fax: 台湾地区 - 新竹 Tel: Fax: 澳大利亚 Australia - Sydney Tel: Fax: 印度 India - Bangalore Tel: Fax: 印度 India - New Delhi Tel: Fax: 印度 India - Pune Tel: Fax: 日本 Japan - Yokohama Tel: Fax: 韩国 Korea - Daegu Tel: Fax: 韩国 Korea - Seoul Tel: Fax: 或 马来西亚 Malaysia - Kuala Lumpur Tel: Fax: 马来西亚 Malaysia - Penang Tel: Fax: 菲律宾 Philippines - Manila Tel: Fax: 新加坡 Singapore Tel: Fax: 泰国 Thailand - Bangkok Tel: Fax: 奥地利 Austria - Wels Tel: Fax: 丹麦 Denmark-Copenhagen Tel: Fax: 法国 France - Paris Tel: Fax: 德国 Germany - Munich Tel: Fax: 意大利 Italy - Milan Tel: Fax: 荷兰 Netherlands - Drunen Tel: Fax: 西班牙 Spain - Madrid Tel: Fax: 英国 UK - Wokingham Tel: Fax: 加拿大多伦多 Toronto Mississauga, Ontario, Canada Tel: Fax: 中国 - 珠海 Tel: Fax: 台湾地区 - 高雄 Tel: Fax: 台湾地区 - 台北 Tel: Fax: /15/10 DS70638A_CN 第 32 页

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

00872a.book

00872a.book 从 MCP2510 升 级 至 MCP2515 作 者 : 介 绍 Pat Richards Microchip Technology Inc. 开 发 MCP2510 独 立 CAN 控 制 器 的 初 衷 是 赋 予 CAN 系 统 和 模 块 设 计 人 员 更 多 的 灵 活 性, 允 许 他 们 为 自 己 的 应 用 选 择 最 好 的 处 理 器 使 用 MCP2510 不 会 使 设

More information

untitled

untitled MCP3421 SOT23-6 DS51793A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

untitled

untitled N1113 8051MU I 2 EEPROM lexandru Valeanu Microchip Technology Inc. Microchip Technology 24XXX EEPROM 2 SL 24XXX EEPROM 24XXX EEPROM I 2 100 khz 1MHz 24XXX EEPROM 2 I 2 EEPROM 128 512 b 100 khz 1MHz 1.7V

More information

untitled

untitled PICkit MCP3422 DS51781A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology

More information

01262B.book

01262B.book 使用 C30 编译器实现单片机与片外串行 SRAM 的通信 作者 : 引言 Martin Bowman Microchip Technology Inc. 适用于 Microchip 的 dspic DSC 和 PIC24 系列单片机的 C30 编译器为寻址外部存储器提供了一个解决方案 该编译器支持这样的功能, 即允许用户按照访问 MCU 的片内存储器的方式来访问外部存储器 本应用笔记主要介绍如何使用

More information

PIC24 MSSP FRM Rev A.book

PIC24 MSSP FRM Rev A.book 58 MSSP 58.1... 58-2 58.2... 58-2 58.3... 58-12 58.4 SPI... 58-13 58.5 I2C... 58-22 58.6 /... 58-58 58.7... 58-58 58.8... 58-59 58.9... 58-60 58.10... 58-61 58 MSSP 2012 Microchip Technology Inc. DS30627A_CN

More information

61127c_cn.book

61127c_cn.book 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 列 主 题 : 1.1 简 介... 1-2 1.2 本 手 册 的 目 标... 1-2 1.3 器 件 结 构... 1-2 1.4 开 发 支 持... 1-4 1.5 样 式 和 符 号 约 定... 1-4 1.6 相 关 文 档... 1-6 1.7 版 本 历 史... 1-7 2010 Microchip Technology

More information

70641A.book

70641A.book AN1299 调整指南 1.1 配置双电流检测电阻模式 本文档介绍了 AN1299 PMSM 无传感器 FOC 的单电流检测电阻三相电流重构算法 (DS01299A_CN) 中所述算法调整所需的步骤和设置 1. 第一步, 用户需完成 AN1078 中的调整过程, 其中介绍了在具体电机和硬件上运行滑模控制器 (Slide Mode Controller, SMC) 所需的全部步骤 2. 应用运行后,

More information

41632B.book

41632B.book 互 补 波 形 发 生 器 (CWG) 可 配 置 逻 辑 单 元 (CLC) 和 数 控 振 荡 器 (NCO) 外 设 技 巧 与 诀 窍 简 介 Microchip 致 力 于 不 断 向 客 户 提 供 体 积 更 小 速 度 更 快 使 用 更 简 便 与 运 行 更 可 靠 的 创 新 产 品 我 们 的 闪 存 PIC MCU 已 广 泛 应 用 于 日 常 生 活 中 从 烟 雾 探

More information

MCP47x6_DaughterBoard.book

MCP47x6_DaughterBoard.book MCP47X6 PICtail Plus 子 板 用 户 指 南 DS51932B_CN 请 注 意 以 下 有 关 Microchip 器 件 代 码 保 护 功 能 的 要 点 : Microchip 的 产 品 均 达 到 Microchip 数 据 手 册 中 所 述 的 技 术 指 标 Microchip 确 信 : 在 正 常 使 用 的 情 况 下, Microchip 系 列 产 品

More information

CTMU Temperature Measurement AN.book

CTMU Temperature Measurement AN.book 利用 PIC MCU 中的 CTMU 测量温度 TB3016 作者 : 最新一代 PIC24F 和 PIC18F 器件包含的充电时间测量单元 (Charge Time Measurement Unit,CTMU) 使用恒流源来计算电容值的变化以及事件的间隔时间 运用半导体物理学的基本原理, 同样的电流源也可用来测量温度 这允许使用普通而廉价的二极管来取代相对昂贵的热敏电阻和温度传感器 本技术简介描述了使用

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

21999a_cn.book

21999a_cn.book 1.5A 低 电 压 低 静 态 电 流 LDO 稳 压 器 MCP1727 特 性 输 出 电 流 能 力 为 1.5A 输 入 工 作 电 压 范 围 :2.3V 至 6.0V 可 调 输 出 电 压 范 围 :0.8V 至 5.0V 标 准 固 定 输 入 电 压 : - 0.8V 1.2V 1.8V 2.5V 3.0V 3.3V 和 5.0V 可 根 据 需 要 提 供 其 他 固 定 输

More information

untitled

untitled MCP3421 DS51683A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip Microchip Technology Inc. Microchip

More information

61146A.book

61146A.book PIC32 2008 Microchip Technology Inc. DS61146A_CN Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Microchip Digital Millennium Copyright Act Microchip

More information

21928a.book

21928a.book PS080, PowerTool 800 开发软件用户指南 2005 Microchip Technology Inc. DS21928A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP

TB3109 PIC32MX 器件中与 USB 模块相关的更改 USB 模块中断位 ( 即 USBIF USBIE USBIP 和 USBIS 位 ) 的位置变化会影响 PIC32MX 器件上的 USB 协议栈操作 表 1 给出了所列 PIC32MX 器件系列中 USBIF USBIE USBIP 在 v2013-06-15 MLA USB 设备和主机协议栈中更新 PIC32MX 支持 TB3109 简介 我们提供适合 PIC32MX MCU 的 Microchip 应用程序库 (Microchip Libraries for Applications,MLA), 但未计划向 MLA 添加新功能 v2013-06-15 MLA USB 协议栈本质上支持所有适用的 PIC32MX MCU 器件

More information

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO

工作原理 脉冲血氧仪基于氧合血红蛋白 (HbO 2 ) 和脱氧血红蛋白 (Hb) 的红光 ( 波长为 nm) 和红外光 ( 波长为 nm) 吸收特性来监测人体血液的氧饱和度 (SpO 2 ) 脉冲血氧仪会交替地闪烁红光和红外光, 光线穿过手指到达光电二极管 HbO 使用 Microchip 的 dspic 数字信号控制器 (DSC) 和模拟器件实现脉冲血氧仪设计 作者 : Zhang Feng Microchip Technology Inc. 简介 脉冲血氧仪是一种用于监测患者血氧饱和度和心率的非侵入式医疗设备 本应用笔记演示了如何使用 Microchip 的 dspic 数字信号控制器 (Digital Signal Controller, DSC) 和模拟器件来实现高精度脉冲血氧仪

More information

0507A_Auto Tech_Microchip

0507A_Auto Tech_Microchip 汽 车 无 线 安 全 接 入 技 术 解 决 方 案 Youbok Lee 主 任 工 程 师 Willie Fitzgerald 产 品 营 销 总 监 Microchip Technology Inc. 引 言 全 球 汽 车 半 导 体 面 临 极 大 的 市 场 机 会, 而 汽 车 设 计 工 程 师 正 在 应 对 高 性 价 比 的 性 能 增 强 功 耗 小 尺 寸 和 安 全 性

More information

21498c_cn.book

21498c_cn.book 精密温度 - 电压转换器 特性 工作电压范围 : - TC147:2.7V 至 4.4V - TC147A:2.5V 至 5.5V 宽温度测量范围 : - -4 C 至 +125 C 温度转换精度高 : - 在 25 C 时为 ±2 C ( 最大值 ) 线性温度斜率 :1 mv/ C ( 典型值 ) 提供 3 引脚 SOT-23B 封装 低工作电流 : - 35 µa 典型值 应用 移动电话 电源过热关断控制

More information

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光

光 探 测 应 用 光 探 测 应 用 可 以 使 用 许 多 探 测 器, 例 如 光 电 二 极 管 光 电 晶 体 管 光 敏 电 阻 光 电 管 光 电 倍 增 管 电 荷 耦 合 器 件 等 本 应 用 笔 记 将 重 点 介 绍 光 电 二 极 管, 因 为 它 是 最 常 用 的 光 用 于 光 探 测 应 用 的 MCP649 运 放 AN494 作 者 : 简 介 Yang Zhen Microchip Technology Inc. 许 多 光 探 测 应 用 中 经 常 使 用 低 输 入 偏 置 运 算 放 大 器 ( 运 放 ), 以 降 低 电 流 误 差 和 提 高 输 出 信 号 的 精 度 以 下 为 典 型 的 光 探 测 应 用 : 烟 雾 探 测 器 火

More information

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到

TB3098 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc. 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到 PIC16F170X 外设引脚选择 (PPS) 技术简介 作者 : 简介 Stephen Allen Microchip Technology Inc 外设引脚选择 (Peripheral Pin Select,PPS) 可以使数字外设灵活地映射到各种外部引脚 在过去, 外设硬接线到特定引脚 ( 例如 : 引脚 RC5 上的 PWM1 输出 ) PPS 允许开发人员从大量输出引脚中进行选择, 这提供了以下优势

More information

21666b.book

21666b.book 具 有 关 断 功 能 的 80 ma 小 型 CMOS LDO TC1016 特 性 节 省 空 间 的 5 引 脚 SC-70 和 SOT-23 封 装 极 低 的 工 作 电 流, 利 于 延 长 电 池 寿 命 : 典 型 值 53 µa 很 低 的 电 压 差 (Dropout Voltage) 额 定 输 出 电 流 :80 ma 仅 需 一 个 1µF 的 陶 瓷 输 出 电 容 高

More information

70640A.book

70640A.book AN1292 调整指南 1.1 设置软件参数 本文档介绍了使用 AN1292 利用 PLL 估算器和弱磁技术 (FW) 实现永磁同步电机 (PMSM) 的无传感器磁场定向控制 (FOC) (DS01292A_CN) 中所述的算法来运行电机的分步过程 文件中定义了所有的主要可配置参数 使用 tuning_params.xls Excel 电子表格 ( 见图 1-1) 将参数修改为内部数值格式 此文件包含在

More information

AN1487 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 规范的一部分, 具体与数控可调光荧光镇流

AN1487 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 规范的一部分, 具体与数控可调光荧光镇流 DALI 控制装置 作者 : 简介 数字可寻址照明接口 (Digitally Addressable Lighting Interface,DALI) 已成为欧洲应对能源危机的一项标准, 主要针对商业和工业用途 DALI 是 IEC 60929 规范的一部分, 具体与数控可调光荧光镇流器有关 本文档结合 DALI 通信应用笔记 (AN1465A) (ww1.microchip.com/downloads/cn/appnotes/

More information

PIC18F65J90/85J90 Product Brief

PIC18F65J90/85J90 Product Brief 采用纳瓦技术内置 LCD 驱动器的 64/80 引脚高性能单片机 单片机的特殊性能 : 闪存程序存储器可承受 1000 次擦写 ( 典型值 ) 闪存数据保存时间为 20 年 ( 典型值 ) 软件控制下可自编程 中断具有优先级 8 x 8 单周期硬件乘法器 扩展的看门狗定时器 (Watchdog Timer, WDT): - 周期从 4 ms 到 131s, 可编程设定 通过两个引脚可进行在线串行编程

More information

39697b.book

39697b.book 第 9 章看门狗定时器 (WDT) 目录 本章包括下列主题 : 9.1 简介...9-2 9.2 WDT 工作原理... 9-3 9.3 寄存器映射... 9-7 9.4 设计技巧... 9-8 9.5 相关应用笔记... 9-9 9.6 版本历史... 9-10 9 看门狗定时器 (WDT) 2010 Microchip Technology Inc. DS39697B_CN 第 9-1 页 PIC24F

More information

01277A_cn.book

01277A_cn.book 使用 C32 编译器进行串行 SRAM 器件与 PIC32 MCU 的接口设计 作者 : 引言 Pinakin K Makwana Microchip Technology Inc. Microchip 的串行 SRAM 产品线提供了向应用添加附加 RAM 的一种新方法 这些器件采用 8 引脚小型封装以及 SPI 接口, 使设计人员提升系统的灵活性 Microchip Technology 的 23XXXX

More information

00686A.Book

00686A.Book 理解和使用监控电路 AN686 概要 本应用笔记讨论了什么是单片机监控器件, 为什么需要这些器件以及在选择这些器件时需要考虑的一些因素 监控器件属于一个很广的范畴, 它涵盖了上电复位 (Power on Reset,POR) 器件 欠压检测 (Brown-Out Detect,BOD) 器件和看门狗定时器器件 本应用笔记将仅讨论具有 POR 和 BOD 功能的监控器件 监控电路的工作 监控电路可用于几种不同的应用,

More information

01476A.book

01476A.book 结合 CLC 和 NCO 实现高分辨率 PWM AN1476 作者 : 简介 Cobus Van Eeden Microchip Technology Inc. 虽然许多应用可以使用分辨率低于 8 位的 PWM, 但是有一些应用 ( 例如灯具调光 ) 由于人眼灵敏度的原因需要较高分辨率 背景 传统的 PWM 使用定时器来生成规则的开关频率 (T PWM ), 然后使用纹波计数器来确定在脉冲结束之前,

More information

MRF24WG0MAMB Software Migration

MRF24WG0MAMB Software Migration MRF24WG0MA/MB 软件移植 作者 : 概述 本应用笔记介绍为了从旧版 MRF24WB0MA/MB 软件协议栈移植以包含 MRF24WG0MA/MB 模块, 而必须对现有 MLA 软件协议栈进行的更改 使用入门 Amy Ong Microchip Technology Inc. MRF24WG0MA/MB 驱动程序代码已深度嵌入在 MLA 版本中 宏定义用于区分 MRF24WB0MA/MB

More information

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide

MCP Phase Energy Meter Reference Design Using PIC18F2520 Users Guide 跟踪接口工具包规范 跟踪接口工具包 简介 MPLAB REAL ICE 在线仿真器跟踪接口工具包 (AC2446) 可与具有跟踪连接器的 PIC32 接插模块 (PIM)( 表 1-1) 配合使用 具有跟踪连接器的 PIC32 PIM 支持 PIC32 指令跟踪 请参见仿真器文档了解关于 PIC32 指令跟踪的更多信息 表 1-1: PIC32 PIM 列表 * PIM 编号 PIM 名称 跟踪连接器

More information

2.0 MHz, 500 mA Synchronous Buck Regulator

2.0 MHz, 500 mA Synchronous Buck Regulator 2.0 MHz 500 ma 同 步 降 压 稳 压 器 MCP1603 特 性 典 型 效 率 大 于 90% 输 出 电 流 最 高 为 500 ma 低 静 态 电 流 = 45 µa ( 典 型 值 ) 低 关 断 电 流 = 0.1 µa ( 典 型 值 ) 可 调 输 出 电 压 : - 0.8V 至 4.5V 固 定 输 出 电 压 : - 1.2V 1.5V 1.8V 2.5V 和

More information

00975A.book

00975A.book 使用 MSSP 模块进行 Microwire 串行 EEPROM 与 PIC16 器件的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点,

More information

33023A.book(31001A_cn.fm)

33023A.book(31001A_cn.fm) 1 第 1 章 简 介 简 介 目 录 本 章 包 括 下 面 一 些 主 要 内 容 : 1.1 简 介...1-2 1.2 本 手 册 的 宗 旨... 1-3 1.3 器 件 结 构... 1-4 1.4 开 发 支 持... 1-6 1.5 器 件 种 类... 1-7 1.6 格 式 和 符 号 的 约 定... 1-12 1.7 相 关 文 档... 1-14 1.8 相 关 应 用 笔

More information

00966A.book

00966A.book SPI 串行 EEPROM 与 PICmicro 单片机的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 目前市场上有许多种单片机用在嵌入式控制系统设计中, 这些嵌入式控制系统中的很大一部分都要用到非易失性存储器 由于串行 EEPROM 具有封装尺寸小, 存储容量灵活, 对 I/O 引脚要求低, 和低功耗低成本等特点, 已成为非易失性存储器的首选

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

800 mA Fixed-Output CMOS LDO with Shutdown

800 mA Fixed-Output CMOS LDO with Shutdown I 2 C 7/8-7 128 129-8 256 257 4 R AB - 5 kω - 1 kω - 5 kω - 1 kω 75Ω - 5 ppm -7 C - 15 ppm I 2 C - 1 khz - 4 khz - 3.4 MHz / TCON 1.5V 2.5 ua 12.5V SCL SDA - 2.7V 5.5V - 1.8V 5.5V -3 db 2 MHz 5. kω -4

More information

00513D_CN.FM

00513D_CN.FM 使用 PIC16C54 实现模数转换 AN513 作者 : 简介 本应用笔记介绍了一种使用 PIC16C5X 系列单片机实现模数转换 (Analog to Digital,A/D) 的方法 仅需 5 个外部元件即可实现该转换器, 且可通过软硬件配置使转换分辩率达到 6 到 10 位且转换时间不短于 250 µs 这一方法可用于电压和电流转换且使用软件校准技术来补偿由于时间和温度漂移以及元件误差造成的精度误差

More information

RW Focus Asia Whitepaper CH1029

RW Focus Asia Whitepaper CH1029 F CUSASIA 1 Robert Walters Focus Asia Whitepaper Matthew Bennett Robert Walters 2 03 04 06 08 10 13 13 14 3 4 5 Robert Walters Focus Asia Whitepaper 6 7 Robert Walters Focus Asia Whitepaper 8 9 Robert

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

Using Microchip’s Micropower LDOs

Using Microchip’s Micropower LDOs 使用 Microchip 的微功率 LDO AN765 作者 : 简介 Paul Paglia, 公式 1: Microchip Technology Inc. = V REF [( ) 1] V REF = 1.20V Microchip Technology, Inc 的微功率 LDO 系列采用低电压 CMOS 工艺技术 这些 LDO 提供与双极型稳压器类似的纹波抑制和压差特性, 但效率却显著提高了

More information

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH

力矩电机 - 高速 (TORQUE MOTOR-HIGH SPEED) 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 型号 :GTMH 型号 :GTMH 型号 :GTMH 选型目录 基本选型... 2 型号说明... 3 型号 :GTMH 0175-050... 4 型号 :GTMH 0175-070... 7 型号 :GTMH 0175-100... 10 型号 :GTMH 0175-150... 13 型号 :GTMH 0291-050... 18 型号 :GTMH 0291-070... 21 型号 :GTMH 0291-100... 24 型号 :GTMH 0291-150...

More information

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问

MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 开发工具 Microchip开发工具快速指南 www.microchip.com/tools MPLAB X IDE 和 软 件 工 具 简 介 Microchip 生 产 约 900 种 不 同 的 开 发 工 具, 本 文 档 仅 重 点 介 绍 其 中 一 些 精 选 工 具 关 于 Microchip 开 发 工 具 的 完 整 列 表, 请 访 问 www.microchip.com/dts

More information

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系

目录 简介 概念 解决方案 / 实现 相关资源... 7 Microchip 网站... 8 变更通知客户服务... 8 客户支持... 8 Microchip 器件代码保护功能... 8 法律声明... 9 商标... 9 DNV 认证的质量管理体系 什么是 ICM? 如何将 ICM 用于加密 简介 完整性检查监控器 (Integrity Check Monitor,ICM) 是一个 DMA 控制器, 可使用 Cortex M7 MCU 内存 (ICM 描述符区 ) 中的传输描述符在多个存储区上执行哈希计算 ICM 集成了用于哈希的安全哈希算法 (Secure Hash Algorithm,SHA) 引擎 基于 SHA 的哈希适合用于密码验证 质询哈希身份验证

More information

93004A.book

93004A.book 用 dspic DSC MCPWM 模块驱动交流感应电机 作者 : Jorge Zambada Microchip Technology Inc. 如图 1 所示, 电机绕组的电感对 PWM 电压源的电流进行滤波 正如下文即将介绍的, 基于该原理我们可利用 PWM 信号产生正弦波来对三相 ACIM 进行通电 引言 本文档概括介绍了采用 dspic3f 电机控制数字信号控制器系列芯片实现的电机控制 PWM

More information

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声

物理传感器布线设计 基本设计要素包括传感器的尺寸 传感器相对于接地层和 / 或其他低阻抗走线的位置, 以及 mtouch/righttouch 器件中的具体设置 在遵守几个简单准则的前提下, 可以对器件进行独特的设计, 来检测用户接近或附近金属物体和高介电常数物体的移动 要实现具有高信号强度和低噪声 Microchip 电容式接近检测设计指南 AN1492 作者 : 简介 Xiang Gao Microchip Technology Inc. 接近检测为用户提供了一种无需物理接触即可与电子设备进行交互的新方法 该技术可提升产品美感, 改进用户体验并降低功耗 为实现接近检测, 人们已使用了许多方法 : 电磁 IR 光学 多普勒效应 电感和电容 每种方法均有各自的优势和局限性 电容传感方法的原理是检测传感器上由于用户触摸或接近而产生的电容变化

More information

SMSC LAN8700 Datasheet

SMSC LAN8700 Datasheet Microchip LAN9252 SDK 与 Beckhoff EtherCAT SSC 集成 AN1916 作者 : Kansal Mariam Banu Shaick Ibrahim Microchip Technology Inc. 简介 Microchip LAN9252 是一款集成双以太网 PHY 的 2/3 端口 EtherCAT 从控制器 (EtherCAT Slave Controller,ESC)

More information

Rotork E120E IQ brochure

Rotork E120E IQ brochure Rotork IQ IQ IQ 3 7 IP68(3-8 )NEMA 9 6 11 IQ 12 1 16 18 Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA IQ IQ IQ PCIQ-Insight IQ IQ IQ IP67 IQ - EEx ia IIC T ( ) Insight IrDA TM 9 (RS232-IrDA

More information

「兆豐商業銀行」原交通銀行客戶重要權益手冊

「兆豐商業銀行」原交通銀行客戶重要權益手冊 行 益 行 95 年 8 錄 1 1 參 4 6 金 7 陸 金 7 7 8 錄 行 行 18 錄 行 行 19 行 行 益 行 行 行 95.8.21 行 行 Mega International Commercial Bank Co., Ltd. 行 017 北 路 123 金 樓 行 不 行 行 行 行 行 更 行 更 行 連 參 錄 不 益 益 不 利 行 行 利 不 行 www.ctnbank.com.tw

More information

01096B.book

01096B.book 使用 C30 编译器进行 SPI 串行 EEPROM 与 dspic33f 和 PIC24F 的接口设计 AN1096 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. Microchip 的 25XXX 系列串行 EEPROM 均与 SPI 兼容, 其最大时钟频率在 3 MHz 到 20 MHz 的范围内 许多时候, 当设计利用串行 EEPROM

More information

MASTERs 06 Slide Template

MASTERs 06 Slide Template 2009 Microchip Technology Incorporated. 版权所有 C10L08 BAT 第 1 页 C10L08 BAT 便携式产品中的电池管理策略 课程目标 完成课程后, 你将了解到 : 不同化学电池的基本原理和如何在便携式设计中进行应用 针对应用选择合理的电池管理 便携式电源的新趋势以及选择拓扑结构的关键因素 2009 Microchip Technology Incorporated.

More information

Rotork new IQ brochure

Rotork new IQ brochure Rotork IQ Rotork IQ 3 40 4 5 6 7 Rotork 8 10 12 14 16 18 20 Rotork Rotork Rotork Controls Ltd, Bath, UK 2 Rotork Controls Inc, Rochester, USA Rotork IQ Rotork IQ IQ Rotork IQ Rotork IQ IQ Rotork IQ IQ

More information

01236B.book

01236B.book 使用 C3 和定时器连接 dspic33 DSC 和 PIC24 MCU 与兼容 UNI/O 总线的串行 EEPROM 作者 : 简介 Martin Kvasnicka Microchip Technology Inc. 随着嵌入式系统小型化的趋势, 市场对于减少器件间通信所用 I/O 引脚数的需求也与日俱增 Microchip 开发的 UNI/O 总线正满足了这一需求, 这一个低成本且易于实现的解决方案,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩 21 世 纪 全 国 高 校 应 用 人 才 培 养 旅 游 类 规 划 教 材 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 方 海 川 主 编 付 景 保 副 主 编 内 容 简 介 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 一 书 共 分 5 章, 第 1 章 主 要 介 绍 有 关 中 国 出 境 旅 游 市 场 的 发 展 历

More information

51983a - MCP mA D2PAK UG.book

51983a - MCP mA D2PAK UG.book MCP630 300 ma D 2 PAK 演示板用户指南 20 Microchip Technology Inc. DS5983A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一

More information

00884a_cn.book

00884a_cn.book .E-0.E+00.E+0.E+0.E+03.E+04.E+05.E+06.E+07 使用运放驱动容性负载 AN884 作者 : 简介 运算放大器在驱动大的容性负载时, 若不采取正确地补偿, 则会产生尖峰和振荡问题 其他问题还包括 : 带宽减小, 输出压摆率降低和功耗增加 本应用笔记将解释为什么会发生这些问题, 如何改变运放电路来达到更佳性能, 以及如何快速计算电路的参数值 简化的运放模型 Kumen

More information

00992A.book

00992A.book 用 dspic30f2010 控制无传感器 BLDC 电机 AN992 作者 : 概述 Stan D Souza Microchip Technology 本应用笔记介绍了如何使用 dspic30f2010 数字信号控制器控制无传感器的 BLDC 电机 应用中采用的技术是基于另一 Microchip 应用笔记 : dspic30f 在无传感器 BLDC 控制中的应用 (AN901) 本文介绍了如何将

More information

01020B.book

01020B.book 使用 MSSP 进行 Microwire 串行 EEPROM 与 PIC18 器件的接口设计 作者 : 引言 Martin Kvasnicka Microchip Technology Inc. 当前市场上有许多不同的单片机用于嵌入式控制应用领域 许多这种嵌入式控制系统都需要非易失性存储器 由于外形小巧 提供字节级灵活性 I/O 引脚要求低 低功耗和低成本等特点, 串行 EEPROM 成为非易失性存储器的流行选择

More information

93001A.book

93001A.book 使用 dspic30f 器件实现 BLDC 电机控制入门 作者 : 引言 Stan D Souza Microchip Technology Inc. 由于直流无刷 (BLDC) 电机可降低能耗及维护成本, 因此在对效率和可靠性要求较高的应用场合 BLDC 电机正重新受到关注 在大量应用中, dspic30f 电机控制芯片是多种类型 BLDC 电机的理想驱动和控制器件 Microchip 已经开发了许多基于

More information

RW Salary Survey 2015 - China A4

RW Salary Survey 2015 - China A4 2015 中国 2014年 中国政府实施从纯制造业和基础设施行业向高科 技行业的转型 加强专业服务和消费者领域发展 以出口 刺激经济增长 由于政府的投资政策重新定位 我们看到越来越多的研发 中心在国内成立 更多国际专业服务公司进入中国市场 奢侈品及零售品牌进驻苏州 杭州 南京和成都等二线城 市 带动了当地市场的招聘需求 纵观总体经济 经济普遍增长 就业市场前景乐观 雇员 与雇主信心增强 2014年前三季度GDP增长率达7.4%

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

untitled

untitled 3 4 Watson Farley & Williams 5 6 Watson Farley & Williams 7 9 10 Watson Farley & Williams 11 ATHENS BANGKOK DUBAI FRANKFURT HAMBURG HONG KONG LONDON MADRID MILAN MUNICH NEW YORK PARIS ROME SINGAPORE wfw.com

More information

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上

图 1 给出了 WWDT 的框图 为确保在最坏情况下能够通过程序主循环, 需要为定时器设置延时 定时器可通过控制寄存器进行配置 在定时器达到其设定的最大计数值并发生上溢前, 用户的应用程序应定期发出 CLRWDT 指令 如果用户的应用程序未能成功通过 CLRWDT 指令复位定时器, 则看门狗将发生上 PIC 单片机的窗口看门狗定时器 TB3123 作者 : Ashutosh Tiwari Microchip Technology Inc. 简介 窗口看门狗定时器 (Windowed Watchdog Timer, WWDT) 是 PIC 单片机的增强型看门狗定时器 该定时器是 PIC 单片机现有看门狗定时器 (Watchdog Timer, WDT) 的扩展 Microchip 的 WDT 具有可配置的时间阈值上限和固定的时间阈值下限

More information

电阻式温度检测器 (RTD) 参考设计 2013 Microchip Technology Inc. DS51891A_CN

电阻式温度检测器 (RTD) 参考设计 2013 Microchip Technology Inc. DS51891A_CN 电阻式温度检测器 (RTD) 参考设计 2013 Microchip Technology Inc. DS51891A_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit

模拟外设 : 10 位模数转换器 (Analog-to-Digital Converter, ): - 最多 17 路外部通道 - 可在休眠模式下进行转换 : - 最多 2 个 - 低功耗 / 高速模式 - ( 同相 ) 反相输入上的固定电压参考 - 可从外部访问输出 5 位数模转换器 (Digit 采用 XLP 技术的全功能低引脚数单片机产品简介 说明 : 单片机具有模拟 内核独立外设以及通信外设特性, 并结合了超低功耗 (extreme Low Power,XLP) 技术, 适用于一系列广泛的通用和低功耗应用 外设引脚选择 (Peripheral Pin Select, PPS) 功能可以在使用数字外设 ( 和通信 ) 时进行引脚映射, 以增加应用设计的灵活性 内核特性 : 优化的 C 编译器

More information

Hong Kong Pavilion.pdf

Hong Kong Pavilion.pdf 18 th Shanghai International Children Maternity Industry Expo 25-27 / 7 / 2018 National Exhibition and Convention Center Shanghai 18 th Shanghai International Children Maternity Industry Expo CBME 25-27

More information

93002A.book

93002A.book 使用 QEI 模块测量速度和位置 GS002 作者 : 引言 本文档给出了电机控制系列 dspic30f 数字信号控制器中正交编码器 (Quadrature Encoder Interface, QEI) 模块的概述 还提供了一个需要测量转子速度和位置的典型电机控制应用的代码示例 QEI 模块 Jorge Zambada Microchip Technology Inc. 正交编码器 ( 又称增量式编码器或光电式编码器

More information

_LIT MAN USR LX380-L-CHI

_LIT MAN USR LX380-L-CHI 103-009100-01 103-017101-01* * 2 Rev. 1 4 0.7' (20 cm) 1.5' (50 cm) 3' (1 m) 1.5' (50 cm) 10 5 6 7 10 10 10 10 10 10 8 9 10 11 12 13 14 15 II 1 2 3 16 1 2 17 1 2 3 18 1 2 1 2 3 19 32.8' (10.0m) 22.0' (6.7m)

More information

PIC32 Starter Kit User’s Guide

PIC32 Starter Kit User’s Guide PIC32 入门工具包用户指南 2010 Microchip Technology Inc. DS61159B_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

LayoutPhyCS_cn.book

LayoutPhyCS_cn.book 电容触摸传感器布板和物理设计指南 AN1102 作者 : 介绍 本应用笔记用于说明 AN1101 电容触摸传感简介 中介绍的电容触摸解决方案的布板和物理设计 电容触摸系统的布板和物理设计是设计过程中尤为重要的部分 良好的布板可以使软件实现更为简单 取决于实际应用, 布板可能非常简单, 也可能很复杂, 但相同而简单的设计指南将适用于所有的布板 焊盘外形和尺寸 一般规则 当设计电容触摸按键时, 焊盘的形状并不很重要

More information

Microchip电机控制和驱动解决方案 芯片解决方案 极具竞争力的成本 卓越的架构 大的兼容产品系列 算法 技术支持 ACIM/BLDC/PMSM 有刷直流/步进电机 有传感器/无传感器控制 磁场定向控制 功率因数校正 网上设计中心 网上研讨会 区域培训中心课程 电机控制专家 参考设计和GUI工具

Microchip电机控制和驱动解决方案 芯片解决方案 极具竞争力的成本 卓越的架构 大的兼容产品系列 算法 技术支持 ACIM/BLDC/PMSM 有刷直流/步进电机 有传感器/无传感器控制 磁场定向控制 功率因数校正 网上设计中心 网上研讨会 区域培训中心课程 电机控制专家 参考设计和GUI工具 电机控制和驱动 www.microchip.com/motor Microchip电机控制和驱动解决方案 芯片解决方案 极具竞争力的成本 卓越的架构 大的兼容产品系列 算法 技术支持 ACIM/BLDC/PMSM 有刷直流/步进电机 有传感器/无传感器控制 磁场定向控制 功率因数校正 网上设计中心 网上研讨会 区域培训中心课程 电机控制专家 参考设计和GUI工具 包含代码的应用笔记库 低成本开发工具

More information

MASTERs 06 Slide Template

MASTERs 06 Slide Template C11L05 TCP 在您的 PIC 设计中应用 TCP/IP 2010 Microchip Technology Incorporated 版权所有 C11L05 TCP Slide 1 课程目标 阐述基于以太网技术的 TCP/IP 基本理论 探究针对基于以太网设计的 TCP/IP, Microchip 相应的 MCU 解决方案 通过参加 Microchip 技术精英年会 ( MASTERs )

More information

什么是 SSC? 如何为音频应用配置 SSC TB3175 简介 同步串行控制器 (Synchronous Serial Controller,SSC) 是一种串行同步通信模块, 可用于 Microchip 32 位 ARM Cortex -M3 Cortex -M4 和 Cortex -M7 系列

什么是 SSC? 如何为音频应用配置 SSC TB3175 简介 同步串行控制器 (Synchronous Serial Controller,SSC) 是一种串行同步通信模块, 可用于 Microchip 32 位 ARM Cortex -M3 Cortex -M4 和 Cortex -M7 系列 什么是 SSC? 如何为音频应用配置 SSC 简介 同步串行控制器 (Synchronous Serial Controller,SSC) 是一种串行同步通信模块, 可用于 Microchip 32 位 ARM Cortex -M3 Cortex -M4 和 Cortex -M7 系列单片机 (MCU) SSC 支持音频和电信应用中常用的多个同步通信协议, 如 I 2 S(Inter-IC Sound,

More information

00905A.book

00905A.book 有刷直流电机基础 AN905 作者 : 简介 有刷直流电机被广泛用于从玩具到按钮调节式汽车坐椅的应用中 有刷直流 (Brushed DC, BDC) 电机价格便宜 易于驱动并且易于制造成各种尺寸和形状 本应用笔记将讨论 BDC 电机的工作原理 驱动 BDC 电机的方法以及将驱动电路与 PIC 单片机接口的方法 工作原理 Reston Condit Microchip Technology Inc.

More information

EPSON Safety Instructions Manual

EPSON Safety Instructions Manual ... 2... 9... 11... 12 Epson... 14 1 2 3 4 5 ( ) A/V 50cm 6 7 + - 8 LCD LCD LCD 9 14 UL NEMKO CSA FIMKO BSI LCIE IMQ CEBEC SAA KEMA OVE PSE SEV EK SEMKO CCC DEMKO PSB VDE 10 CD-ROM http://www.epson.com

More information

MCP1630

MCP1630 可 用 单 片 机 控 制 的 高 速 脉 宽 调 制 器 特 性 高 速 PWM 操 作 ( 电 流 检 测 至 输 出 有 12 ns 延 时 ) 工 作 温 度 范 围 : - -4 C 至 125 C 精 确 峰 值 电 流 限 制 (±5%)(MCP163) 电 压 模 式 和 平 均 电 流 模 式 控 制 (MCP163V) CMOS 输 出 驱 动 器 ( 直 接 驱 动 MOSFET

More information

SMK环境报告书2009

SMK环境报告书2009 Contents 1995SMK corporate citizen RoHSRoHSJ-MossREACH SMK CO2 298 28 1 2 3 4 4 5 6 7 9 1 28284129331 Gate City Office ASIA SMK High-Tech Taiwan Trading Co., Ltd. SMK Electronics (H.K.) Ltd. SMK Trading

More information

01019A.book

01019A.book EEPROM 耐擦写能力教程 作者 : 基本术语 David Wilkie Microchip Technology Inc. 耐擦写能力 (Endurance) ( 指 EEPROM) 的定义中包含一些需要明确定义和理解的词语和短语 从以下段落可以看出, 不同厂商使用不同的标准 耐擦写循环 (Endurance Cycling) 是所有厂商 ( 和一些客户 ) 采用的测试方法, 用以确定产品经过多少次

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

#00chp_ii-viiiCS.p65

#00chp_ii-viiiCS.p65 The contents of this guide are printed on 70% recycled paper. CANON INC. 30-2, Shimomaruko 3-chome, Ohta-ku, Tokyo 146-8501, Japan CANON U.S.A., INC. One Canon Plaza, Lake Success, NY 11042, U.S.A. CANON

More information

英飞凌风机控制方案介绍

英飞凌风机控制方案介绍 英飞凌风机控制方案介绍 英飞凌科技 ( 中国 ) 有限公司 工业和多元化市场 2011 马达控制及应用技术研讨会 Table of contents 英飞凌风机方案概述 BLDC 简易正弦波风机方案介绍 BLDC 无传感器 FOC 方案介绍 5/27/2011 Copyright Infineon Technologies 2009. All rights reserved. Page 2 Table

More information

Ps22Pdf

Ps22Pdf , :,,,,,,,,,,,,, ( CIP) /. :, 2004 7 ISBN 7-04 - 015186-3... - - - -. G245 CIP ( 2004) 062250 4 100011 010-82028899 010-64054588 800-810 - 0598 ttp: / / www hep edu cn http: / / www hep com cn 787 1092

More information

2016 8 252016 15 12 2016 2 3 5 8 51 53 56 58 65 68 73 74 77 78 235 62 2016 1 12016 6 30 BBM Banco BBM S.A. 2 Bank of Communications Co.,Ltd. 188 86-21-58766688 86-21-58798398 investor@bankcomm.com 200120

More information

全.pdf

全.pdf 1 2 3 4 5 6 7 /// 8 9 2 Your China Logistics Specialist in Taiwan May, 2010 10 Dimerco Global Organization Board of Directors CEO 11 CRP Office Corporate Marketing Corporate MIS Corporate Finance & Accounting

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

untitled

untitled CP2215 捦幾 柢沗 昪律昢 020-101232-02 2015 Christie Digital Systems USA Inc. All rights reserved.,. FCC 15 Class A..,.. CAN ICES-3(A) / NMB-3(A) (A ),. Christie. Christie. Christie.. Christie ( ). Christie..

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

AN2584 Microchip 32 位单片机的集成功率因数校正 (PFC) 与无传感器磁场定向控制 (FOC) 系统 简介 近年来, 电机控制行业一直致力于为各种应用设计高能效的电机控制驱动 消费者对更高电能质量标准的需求推动了这一趋势 通过实现功率因数校正 (Power Factor Corr

AN2584 Microchip 32 位单片机的集成功率因数校正 (PFC) 与无传感器磁场定向控制 (FOC) 系统 简介 近年来, 电机控制行业一直致力于为各种应用设计高能效的电机控制驱动 消费者对更高电能质量标准的需求推动了这一趋势 通过实现功率因数校正 (Power Factor Corr Microchip 32 位单片机的集成功率因数校正 (PFC) 与无传感器磁场定向控制 (FOC) 系统 简介 近年来, 电机控制行业一直致力于为各种应用设计高能效的电机控制驱动 消费者对更高电能质量标准的需求推动了这一趋势 通过实现功率因数校正 (Power Factor Correction,PFC) 可以增强电能质量, 使用无传感器磁场定向控制 (Field Oriented Control,FOC)

More information

bp.com/statisticalreview #BPstats 1 2 3 4 5 # 6 () 150 120 160 140 120 90 100 80 60 60 40 30 20 0 85 90 95 00 05 10 15 0 2.5 47.3 7.6 3.0 55.0 8.1 9.1 12.5 6.4 3.5 58.9 10.1 14.0 11.3 16.3 7.4 7.5 19.4

More information

Sensorless Field Oriented Control of PMSM

Sensorless Field Oriented Control of PMSM PMSM 的无传感器磁场定向控制 AN1078 作者 : 简介 Jorge Zambada 和 Debraj Deb Microchip Technology Inc. 设计者根据对环境的需求, 希望能不断开拓高级电机控制技术, 用以制造节能空调 洗衣机和其他家用电器产品 到目前为止, 较为完善的电机控制解决方案通常仅用作专门用途 然而, 新一代数字信号控制器 (Digital Signal Controller,DSC)

More information