スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 第 10 章シリアル通信制御回路 大阪大学大学院情報科学研究科今井正治 /01/ , Masaharu Imai 1

2 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成回路 VHDL での記述例 2007/01/ , Masaharu Imai 2

3 RS232C コンピュータ (DTE) と回線終端装置 (DCE) 間の標準シリアル インタフェース DTE: Data Terminal Equipment ( コンピュータなど ) DCE: Data Circuit Equipment ( モデムなど ) コンピュータ (DTE) RS232C モデム (DCE) 通信回線 ( 電話回線 ) モデム (DCE) RS232C コンピュータ (DTE) 2007/01/ , Masaharu Imai 3

4 RS232C の応用 コンピュータとモデム ストレート ケーブル コンピュータ同士 クロス ケーブル コンピュータ (DTE) RS232C モデム (DCE) コンピュータ (DTE) RS232C コンピュータ (DTE) I/O 装置 ( プリンタ, プロッタなど ) には DCE 仕様の製品と DTE 仕様の製品があるので注意が必要 2007/01/ , Masaharu Imai 4

5 タイムチャート データ通信可能期間 DTR (DTE) DSR (DCE) RTS (DTE) CTS (DCE) DCD (DCE) TxD (DTE) RxD (DCE) 送信可能 受信可能 2007/01/ , Masaharu Imai 5

6 DTE と DCE との間のデータ通信手順 DTE 1. DTR を ON にする 2. DSR が ON になるまで待つ 3. 送信または受信を繰り返す 4. DTR を OFF にする DCE 1. DSR を ON にする 3. 送信または受信を繰り返す 4. DSR を OFF にする 5. DSR が OFF になるまで待つ 2007/01/ , Masaharu Imai 6

7 DTE から DCE へのデータの送信手順 DTE 1. RTS を ON にする DCE 1. CTS を ON にする 2. CTS が ON になるまで待つ 3. データを送信する 3. データを受信する 4. RTS を OFF にする 4. CTS を OFF にする 5. CTS が OFF になるまで待つ 2007/01/ , Masaharu Imai 7

8 DCE から DTE へのデータの送信手順 DTE DCE 1. DCD を ON にする 1. DCD が ON になったのを認識 2. データを送信する 2. データを受信する 3. DCD を OFF にする 3. DCD が OFF になったのを認識 2007/01/ , Masaharu Imai 8

9 信号名一覧 慣用略号 JIS 略号 信号の意味 FG 保安用接地 (Frame Ground) TxD RxD RTS CTS DSR SD RD RS CS DR 送信データ受信データ送信要求送信可データ セット レディ GND SG 信号用接地 ((Signal) Ground) DCD CD データチャネル受信キャリア検出 DTR ER データ端末レディ RI CI 被呼表示 2007/01/ , Masaharu Imai 9

10 RS232C の信号レベル 状態 L H 電圧 -25 ~ -3 V +3 ~ +25 V 論理 1 0 名称マークスペース 2007/01/ , Masaharu Imai 10

11 データ線上の信号の例 D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0 データ スペース (H) マーク (L) スタートビット D 0 1 D 1 0 D 2 D 3 D 4 D データビット D 6 0 D 7 0 ストップビット 2007/01/ , Masaharu Imai 11

12 パラメータのまとめ パラメータ ビットレート (bps, baud) データ長 パリティ スタートビット ストップビット 値 300, 600, 1200, 2400, 4800, 9600, 19.2K, 38.4K, 57.6K, 115.2K など 7 bit または 8 bit 偶数 / 奇数 / なし 1 bit 1 bit, 1.5 bit または 2 bit 2007/01/ , Masaharu Imai 12

13 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成 VHDL での記述例 2007/01/ , Masaharu Imai 13

14 9 ピン Dsub インタフェース 9 ピン Dsub コネクタ ( オス ) ピン番号と信号名の対応 ピン番号 信号名 1 CD 2 RD 3 TD (SD) 4 DTR 端末側通信可能 5 GND 6 DSR ホスト側通信可能 7 RTS 8 CTS 9 RI 2007/01/ , Masaharu Imai 14

15 DTE と DCE の接続 ( ストレート接続 ) DTE ( 端末 ) TD RD RTS CTS CD DTR DSR RI GND TD RD RTS CTS CD DTR DSR RI GND DCE ( ホスト, モデム ) 2007/01/ , Masaharu Imai 15

16 DTE と DTE の接続 ( クロス / リバース接続 ) DTE ( 端末 ) TD RD RTS CTS CD DTR DSR RI GND (OPEN) TD RD RTS CTS CD DTR DSR RI GND DTE ( 端末 ) 2007/01/ , Masaharu Imai 16

17 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成 VHDL での記述例 2007/01/ , Masaharu Imai 17

18 パリティ ビット データ中の 1 の個数が偶数または奇数になるように調整するためのビット 偶数パリティ (31H) (B1H) 奇数パリティ (31H) (31H) RS232C では データ長が 7 ビットの場合に付けることができる 2007/01/ , Masaharu Imai 18

19 パリティ生成回路 o_eb d[6] d[5] d[4] d[3] d[2] d[1] d[0] p = d[0] d[1] d[2] d[3] d[4] d[5] d[6] o _ eb 2007/01/ , Masaharu Imai 19

20 パリティ検査回路 d[7] d[6] d[5] d[4] o _ eb = d[0] d[1] d[2] d[3] d[3] d[2] d[4] d[6] d[5] d[7] d[1] d[0] 2007/01/ , Masaharu Imai 20

21 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成 VHDL での記述例 2007/01/ , Masaharu Imai 21

22 データ線上の信号の例 D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0 データ スペース (H) マーク (L) スタートビット D 0 1 D 1 0 D 2 D 3 D 4 D データビット D 6 0 D 7 0 ストップビット 2007/01/ , Masaharu Imai 22

23 データの送信手順 (DTE 側 ) 1. RTS( 送信要求 ) を H にする 2. CTS( 送信可 ) が H になるまで待つ 3. スタートビットを送信する 4. データのLSBから順に送信する 5. ストップビットを送信する 6. 3~5を繰り返す 7. RTS を L にする 8. CTS が L になるのを待つ 9. 終了 2007/01/ , Masaharu Imai 23

24 データの受信手順 (DCE 側 ) 1. 受信が可能な状態で RTS( 送信要求 ) が H になったら,CTS( 送信可 ) を H にする 2. スタートビットを受信する 3. データの LSB から順に受信する 4. ストップビットを受信する 5. 2~4 を繰り返す 6. RTS が L になったら,CTS を L にする 7. 終了 2007/01/ , Masaharu Imai 24

25 データのサンプリング T T, 1.5T, 2T スペース マーク STA D0 D1 D2 D3 D4 D5 D6 D7 STO T/16 T/2 T T T T T T T T T T/2 2007/01/ , Masaharu Imai 25

26 データのサンプリング手順 1. T/16 時間ごとにデータをサンプリングして, スタートビットを見つける 2. T/2 時間後にスタート ビットを確認する スタート ビットに一致しなければエラー 3. T 時間ごとにデータをサンプリングする 7 点または 8 点 4. T 時間後にストップ ビットを確認する ストップ ビットに一致しなければエラー 2007/01/ , Masaharu Imai 26

27 送信モジュール コントローラの状態遷移 IDLE: sent <= 1 start = 1 SEND_0: sent <= 0 start = 0 & done_s = 1 SEND_1: start_s <= 1 done_s = 1 done_s = 0 SEND_2: start_s <= /01/ , Masaharu Imai 27

28 送信モジュールの状態遷移 IDLE: done <= 1 rts <= 0 txd <= STOP_BIT start_s = 1 SEND_RDY: done <= 0 rts <= 1 cts = 1 SEND_START_B: txd <= START_BIT bit_pos := 0 SEND_STOP_B: txd <= STOP_BIT bit_pos = 8 SEND_DATA: txd <= d_in(bit_pos) bit_pos := bit_pos /01/ , Masaharu Imai 28

29 内容 RS232C の仕様 Dsub 9 ピンコネクタ パリティの生成とチェック データの送受信手順 クロック生成 VHDL での記述例 2007/01/ , Masaharu Imai 29

30 通信に必要なクロック周波数 通信速度 R (bps) クロック周波数 (R x 16) (khz) = 9.6 / = 19.2 / 2 1, = 38.4 / 2 2, = 76.8 / 2 4, = / 2 9, = / 2 19, = / 2 38, = / 3 57, = / 2 115, /01/ , Masaharu Imai 30

31 RS-232C 用のクロック生成について 基本クロックを 33 MHz とする 基本クロックをカウンタを用いて分周する 38.4 kbps 以外は すぐ上の通信速度に必要なクロックを 2 分周すれば得られる 38.4 kbps の場合は kbps に必要なクロックを 3 進カウンタで分周すれば良い デューティ比が 1:2 になるが 単相同期回路であれば実用上差し支えない 最初に 9 進カウンタで分周する 33,000 / (1,843.2 x 2) = /01/ , Masaharu Imai 31

32 RS-232C 用のクロック生成回路 33 MHz 9 進カウンタ 3,666.7 khz 4 進カウンタ 1833,3 khz, khz 3 進カウンタ 256 進カウンタ 1,222.2 khz ( デューティ比 2:1) khz, khz, khz, 76.4 khz,..., 4.8 k Hz 2007/01/ , Masaharu Imai 32

33 クロック生成回路の誤差の評価 bps 必要なクロック (khz) 生成されたクロック (khz) 誤差 (%) % % 1, % 2, % 4, % 9, % 19, % 38, % 57, % 115, % 2007/01/ , Masaharu Imai 33

34 VHDL での記述例 汎用カウンタ クロック生成回路 RS232C データ送信回路 RS232C データ受信回路 2007/01/ , Masaharu Imai 34

35 汎用カウンタの記述 (1) File : counter.vhd -- Author : Masaharu Imai -- Date : 2004/02/24 -- Version : Abstract : generic n bit counter -- Modification History: -- Date By Version Change Description -- ================================================= /02/21 MI 1.0 Original /02/24 MI 1.1 MAX_VAL was added -- ================================================= /01/ , Masaharu Imai 35

36 汎用カウンタの記述 (2) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is generic( NBIT: natural := 16; MAX_VAL: natural := 0 ); port( clock: in std_logic; reset: in std_logic; count: out std_logic_vector( NBIT-1 downto 0 ); carry: out std_logic ); end entity counter; 2007/01/ , Masaharu Imai 36

37 汎用カウンタの記述 (3) architecture behavior of counter is begin process( clock, reset ) variable count_val: std_logic_vector( NBIT-1 downto 0 ); variable carry_val: std_logic; begin if reset = '1' then count_val := ( others => '0' ); carry_val := '0'; elsif clock'event and clock = '1' then count_val := count_val + 1; if count_val = MAX_VAL then count_val := ( others => '0' ); carry_val := '1'; 2007/01/ , Masaharu Imai 37

38 汎用カウンタの記述 (4) else carry_val := '0'; end if; end if; count <= count_val; carry <= carry_val; end process; end architecture behavior; 2007/01/ , Masaharu Imai 38

39 RS232C 用クロック生成回路の記述 (1) File : rs232c_clk_gen.vhd -- Author : Masaharu Imai -- Date : 2005/05/09 -- Version : Abstract : Clock generator for RS232C clk_out(9): 4.8 khz for 300 bps -- clk_out(8): 9.6 khz for 600 bps -- clk_out(7): 19.2 khz for 1.2 kbps -- clk_out(6): 38.4 khz for 2.4 kbps -- clk_out(5): 76.8 khz for 4.8 kbps -- clk_out(4): khz for 9.6 kbps -- clk_out(3): khz for 19.2 kbps -- clk_out(2): khz for 38.4 kbps 2007/01/ , Masaharu Imai 39

40 RS232C 用クロック生成回路の記述 (2) -- clk_out(1): khz for 57.6 kbps -- clk_out(0): khz for kbps Modification History: -- Date By Version Change Description -- ================================================= /11/06 MI 1.0 Original /12/23 MI 1.1 Bug Fixed /05/09 MI 1.2 Clock port renamed -- ================================================= /01/ , Masaharu Imai 40

41 RS232C 用クロック生成回路の記述 (3) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rs232c_clk_gen is port( clk_33mhz: in std_logic; reset: in std_logic; clk_out: out std_logic_vector( 9 downto 0 ) ); end entity rs232c_clk_gen; 2007/01/ , Masaharu Imai 41

42 RS232C 用クロック生成回路の記述 (4) architecture structure of rs232c_clk_gen is component counter is generic( NBIT: natural := 16; MAX_VAL: natural := 0 ); port( clock: in std_logic; reset: in std_logic; count: out std_logic_vector( NBIT-1 downto 0 ); carry: out std_logic ); end component counter; signal count_1: std_logic_vector( 3 downto 0 ); signal count_2: std_logic_vector( 1 downto 0 ); signal count_3: std_logic_vector( 1 downto 0 ); signal count_4: std_logic_vector( 7 downto 0 ); 2007/01/ , Masaharu Imai 42

43 RS232C 用クロック生成回路の記述 (5) begin signal carry_1: std_logic; signal carry_2: std_logic; signal carry_3: std_logic; signal carry_4: std_logic; CNT_1: counter generic map( -- First Base Clock 33 MHz / 9 NBIT => 4, MAX_VAL => 9 ) port map( clock => clk_33mhz, reset => reset, count => count_1, carry => carry_1 ); 2007/01/ , Masaharu Imai 43

44 RS232C 用クロック生成回路の記述 (6) CNT_2: counter generic map( NBIT => 2, MAX_VAL => 0) port map( clock => carry_1, reset => reset, count => count_2, carry => carry_2 ); CNT_3: counter generic map( NBIT => 2, MAX_VAL => 3) port map( clock => carry_1, reset => reset, count => count_3, carry => carry_3 ); khz and K Hz -- Second Base Clock 2007/01/ , Masaharu Imai 44

45 RS232C 用クロック生成回路の記述 (7) CNT_4: counter generic map( NBIT => 8, MAX_VAL => 0) port map( clock => carry_3, reset => reset, count => count_4, carry => carry_4 ); khz to khz clk_out <= count_4 & count_2; end architecture structure; 2007/01/ , Masaharu Imai 45

46 RS232C データ送信回路 (1) File : SEND.vhd -- Author : Masaharu Imai -- Date : 2004/10/15 -- Version : Abstract : RS232C 送受信回路 (4 線式 )Version 1 -- 送信のみ, データ長 : 8 bit, ストップビット 1 bit -- Modification History: -- Date By Version Change Description -- ================================================ /10/15 MI 1.0 Original -- ================================================ /01/ , Masaharu Imai 46

47 RS232C データ送信回路 (2) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity send is port( clock: in std_logic; reset: in std_logic; start: in std_logic; done: out std_logic; d_in: in std_logic_vector( 7 downto 0 ); cts: in std_logic; rts:out std_logic; txd: out std_logic ); end entity send; 2007/01/ , Masaharu Imai 47

48 RS232C データ送信回路 (3) architecture behavior of send is constant START_BIT: std_logic := '1'; constant STOP_BIT: std_logic := '0'; signal clk_16: std_logic; begin CLK_DIV: process ( clock, reset ) variable clk_16v: std_logic; variable cnt_8: natural range 0 to 7; begin if reset = '1' then clk_16v := '0'; cnt_8 := 0; 2007/01/ , Masaharu Imai 48

49 RS232C データ送信回路 (4) elsif clock'event and clock = '1' then if cnt_8 = 7 then clk_16v := not clk_16v; cnt_8 := 0; else cnt_8 := cnt_8 + 1; end if; end if; clk_16 <= clk_16v; end process CLK_DIV; 2007/01/ , Masaharu Imai 49

50 RS232C データ送信回路 (5) SEND_DATA: process ( clk_16, reset, start, d_in, cts ) type status_t is ( IDLE, SEND_RDY, SEND_START_B, SEND_DATA, SEND_STOP_B ); variable done_s: std_logic; variable status: status_t; variable bit_pos: natural range 0 to 8; begin if reset = '1' then status := IDLE; done <= '1'; rts <= '0'; txd <= STOP_BIT; 2007/01/ , Masaharu Imai 50

51 RS232C データ送信回路 (6) elsif clk_16'event and clk_16 = '1' then case status is when IDLE => -- Wait until start = '1' done <= '1'; rts <= '0'; txd <= STOP_BIT; if start = '1' then status := SEND_RDY; end if; when SEND_RDY => -- Wait until cts = '1' done <= '0'; rts <= '1'; if cts = '1' then status := SEND_START_B; end if; 2007/01/ , Masaharu Imai 51

52 RS232C データ送信回路 (7) when SEND_START_B => -- Send START BIT txd <= START_BIT; bit_pos := 0; status := SEND_DATA; when SEND_DATA => -- Send data bit txd <= d_in( bit_pos ); bit_pos := bit_pos + 1; if bit_pos = 8 then status := SEND_STOP_B; end if; when SEND_STOP_B => -- Send STOP BIT txd <= STOP_BIT; status := IDLE; end case; end if; 2007/01/ , Masaharu Imai 52

53 RS232C データ送信回路 (8) end process SEND_DATA; end architecture behavior; 2007/01/ , Masaharu Imai 53

54 RS232C データ受信回路 (1) File : RECEIVE.vhd -- Author : Masaharu Imai -- Date : 2004/10/15 -- Version : Abstract : RS232C 送受信回路 (4 線式 )Version 1 -- 受信のみ, データ長 : 8 bit, ストップビット 1 bit -- Modification History: -- Date By Version Change Description -- ================================================= /10/15 MI 1.0 Original -- ================================================= /01/ , Masaharu Imai 54

55 RS232C データ受信回路 (2) library ieee; use ieee.std_logic_1164.all; entity receive is port( clock: in std_logic; reset: in std_logic; done: out std_logic; stat: out std_logic; cts: in std_logic; rxd: in std_logic; rts:out std_logic; d_out:out std_logic_vector( 7 downto 0 ) ); end entity receive; 2007/01/ , Masaharu Imai 55

56 RS232C データ受信回路 (3) architecture behavior of receive is constant START_BIT: std_logic := '1'; constant STOP_BIT: std_logic := '0'; begin REC_DATA: process ( clock, reset ) type status_t is ( IDLE, REC_RDY, REC_STB_0, REC_STB_1, REC_DATA_0, REC_DATA_1, REC_SPB_0, REC_SPB_1, SKIP_SPB_0, SKIP_SPB_1 ); variable status: status_t; variable count: natural range 0 to 15; variable bit_pos: natural range 0 to 8; 2007/01/ , Masaharu Imai 56

57 RS232C データ受信回路 (4) begin if reset = '1' then done <= '1'; stat <= '1'; status := IDLE; elsif clock'event and clock = '1' then case status is when IDLE => -- Idle done <= '1'; rts <= '0'; if cts = '1' then status := REC_RDY; end if; 2007/01/ , Masaharu Imai 57

58 RS232C データ受信回路 (5) when REC_RDY => -- Wait START Bit rts <= '1'; count := 7; bit_pos := 0; if cts = '0' then status := IDLE; elsif rxd = START_BIT then status := REC_STB_0; end if; when REC_STB_0 => -- Wait for 1+7 clocks done <= '0'; count := count - 1; if count = 0 then status := REC_STB_1; end if; 2007/01/ , Masaharu Imai 58

59 RS232C データ受信回路 (6) when REC_STB_1 => -- Confirm START_BIT count := 15; if rxd = START_BIT then status := REC_DATA_0; -- OK else status := REC_RDY; -- Error end if; when REC_DATA_0 => -- Wait for 1+15 clocks count := count - 1; if count = 0 then status := REC_DATA_1; end if; 2007/01/ , Masaharu Imai 59

60 RS232C データ受信回路 (7) when REC_DATA_1 => -- Receive data d_out( bit_pos ) <= rxd; bit_pos := bit_pos + 1; count := 15; if bit_pos = 8 then status := REC_SPB_0; else status := REC_DATA_0; end if; when REC_SPB_0 => -- Wait for 1+15 clocks count := count - 1; if count = 0 then status := REC_SPB_1; end if; 2007/01/ , Masaharu Imai 60

61 RS232C データ受信回路 (8) when REC_SPB_1 => if rxd = STOP_BIT then stat <= '1'; -- OK else stat <= '0'; -- Error end if; count := 7; status := SKIP_SPB_0; when SKIP_SPB_0 => count := count - 1; if count = 0 then status := SKIP_SPB_1; end if; -- Confirm STOP_BIT 2007/01/ , Masaharu Imai 61

62 RS232C データ受信回路 (9) when SKIP_SPB_1 => done <= '1'; if cts = '1' then -- Continue status := REC_RDY; else status := IDLE; end if; end case; end if; end process REC_DATA; end architecture behavior; 2007/01/ , Masaharu Imai 62

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

<96DA8E9F2E786C73>

<96DA8E9F2E786C73> ATSUGI DEVICE 総合カタログ 2017-06 DC/ACインバータ大容量型インバータバッテリ内蔵型インバータ双方向型インバータ三相型インバータ新製品単相 3 線型インバータ新製品三相 3 線型インバータ新製品 UPS 型インバータ AC/ACコンバータ AC/DCコンバータ DC/DCコンバータ充電コントローラ新製品コントロールユニット FAシリーズ LAシリーズ BAシリーズ TCシリーズ

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

Dsub 高周波コンタクト

Dsub 高周波コンタクト D サフ 高周波コンタクト Dsub Coaxial Contacts D サフ 高周波コンタクトは ケーフ ルアセンフ リ後 複合 D サフ に装着可能なコンタクトです このコンタクトは複合 D サフ に装着後 専用の治具を用いて取り外すことが可能です 仕様 Specifications 絶縁抵抗 insulation resistance 接触抵抗 Contact resistance(signal)

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

2. 主 婦 パートの 能 力 2.6 個 人 正 社 員 経 験 主 婦 パートの 9 割 以 上 が 正 社 員 経 験 あり 主 婦 パートに 正 社 員 (または 正 職 員 )でどのくらいの 期 間 働 いていたかを 聞 いたところ 全 体 の 91.6%に 正 社 員 で 働 いた 経

2. 主 婦 パートの 能 力 2.6 個 人 正 社 員 経 験 主 婦 パートの 9 割 以 上 が 正 社 員 経 験 あり 主 婦 パートに 正 社 員 (または 正 職 員 )でどのくらいの 期 間 働 いていたかを 聞 いたところ 全 体 の 91.6%に 正 社 員 で 働 いた 経 第 8 回 社 会 保 障 審 議 会 短 時 間 労 働 者 への 社 会 保 険 適 用 等 に 関 する 特 別 部 会 平 成 23 年 11 月 17 日 資 料 4 平 田 未 緒 委 員 ( 株 式 会 社 アイデム 人 と 仕 事 研 究 所 所 長 ) 提 出 資 料 2. 主 婦 パートの 能 力 2.6 個 人 正 社 員 経 験 主 婦 パートの 9 割 以 上 が 正 社

More information

<94CC94848C6F97F02E786C7378>

<94CC94848C6F97F02E786C7378> 全製品共通 2008ベース製品からのアップグレード (3バージョン前より) 2011 年 3 月 15 日 2007ベース製品からのアップグレード クロスグレード (3バージョン前より) 2010 年 3 月 15 日 2007ベース製品からのサブスクリプションレトロクティブ (3バージョン前より) 2010 年 3 月 15 日 2010へのアップグレード (2010 年 4 月までに2010がリリースされた製品

More information

121025 資料4 国民年金保険料の後紊制度の実施状況等について

121025 資料4 国民年金保険料の後紊制度の実施状況等について 平 成 24 年 10 月 25 日 国 民 年 金 部 資 料 4 国 民 年 金 保 険 料 の 後 納 制 度 の 実 施 状 況 等 について 高 齢 期 の 年 金 権 の 確 保 等 を 目 的 とした 国 民 年 金 及 び 企 業 年 金 等 による 高 齢 期 における 所 得 の 確 保 を 支 援 するための 国 民 年 金 法 等 の 一 部 を 改 正 する 法 律 ( 平

More information

Forst Import 車種適合表

Forst Import 車種適合表 A クラス A160 エレカ ンス G-168033 166 1.6 1998 2001 M166.960 T-BE14C (168) GH-168033 166 1.6 2002 ~ M166.960 A160L エレカ ンス GH-168133 166 1.6 2002 ~ M166.960 T-BE14C A190 アハ ンキ ャルト G-168032 1669 1.9 1999 2001 M166.990

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Microsoft Word - SMB-63-2(簡体字).doc

Microsoft Word - SMB-63-2(簡体字).doc 补 充 说 明 书 ABSODEX AX9000TS/TH-U3 (PROFIBUS-DP 规 格 ) SMB-63C-2 前 言 承 蒙 购 置 本 公 司 的 ABSODEX, 至 为 感 谢 ABSODEX 是 为 了 精 准 灵 活 地 驱 动 常 规 产 业 用 的 组 装 设 备 检 测 设 备 的 间 歇 作 动 回 转 工 作 台 等 而 研 发 的 直 接 驱 动 的 分 度 装

More information

カウンタ

カウンタ 第 4 章カウンタ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26//3 26, Masaharu Imai 講義内容 カウンタとは何か? 非同期式カウンタと同期式カウンタ アップダウン カウンタ N 進カウンタ その他のカウンタ まとめ 26//3 26, Masaharu

More information

CRG 2019 パーツリスト.xlsx

CRG 2019 パーツリスト.xlsx 117 ABBIGLIAMENTO - CLOTHING LINE 1 AAC.30094* CRG Tシャツ 2016- T-Shirt CRG 2016 3,000 AAC.30095.# CRG Tシャツ Kids 2016- T-Shirt CRG 2016 child 3,000 2 AAC.30121* CRG Lico ハ イロットシャツ Long Shirt L/S CRG 12,500

More information

40

40 40 41 42 52 53 54 150-0043 東京都渋谷区道玄坂一丁目 14 番 6 号渋谷ヒューマックスビル 550-0002 大阪府大阪市西区江戸堀二丁目 1 番 13 号 Tel: 06-6448-7521 Fax:06-6447-1896 本書の一部 または全部を無断で複写複製することは 法律で認められた場合を除き 著作権の侵害になります 製本には 十分注意いたしておりますが 乱丁

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

2007 年パーツリスト

2007 年パーツリスト 2007 年パーツリスト 2007. 6/24 目次 1 レギュレーター DRAWING TABLE PAGE 1st STAGE 1 1stステージ MR 42 2006 E 108 31 2-3 1stステージ V 42 2005 E 101 24 4-5 1stステージ MR/V 32 EXTREME E 103 26 6-7 1stステージ MR/V 32 E 12 21 8-9 1stステージ

More information

カラーコードの検索方法 : PC の Ctrl + F キーで Acrobat 検索が表示されますので 検索するコードを入力し 検索ボタンを押します または Acrobat のツールバー上に双眼鏡の絵マークがありますので そちらをクリックしても検索できます 1 回で探せない場合は 双眼鏡マークに "

カラーコードの検索方法 : PC の Ctrl + F キーで Acrobat 検索が表示されますので 検索するコードを入力し 検索ボタンを押します または Acrobat のツールバー上に双眼鏡の絵マークがありますので そちらをクリックしても検索できます 1 回で探せない場合は 双眼鏡マークに HONDA 795 720 753 ホライソ ン HONDA 2TN10 NH561P NH537M HONDA 2TN9 G78P G75M HONDA 2TNA NH585P NH595M ハ ンハ ー, モール 特別仕様車 ODYSSEY 1999 HONDA 2TNB YR521M NH616M HONDA 2TNC YR521M NH616M ハ ンハ ー, モール 特別仕様車 ODYSSEY

More information

GX270T2 SJGパーツリスト201903

GX270T2 SJGパーツリスト201903 meiwa コンクリートカッタ搭載エンジンパーツリスト 搭載型式適応号機 HC150 11001- 目次 E-2 シリンダーヘッド E-3 シリンダーバレル E-6 クランケースカバー E-7 クランクシャフト E-8 ピストン E-9 カムシャフト E-11 リコイルスターター E-12 ファンカバー E-14 キャブレター E-15 エアークリーナー E-16 マフラー E-17 フューエルタンク

More information

GX240T2 SJGパーツリスト201903

GX240T2 SJGパーツリスト201903 meiwa コンクリートカッタ搭載エンジンパーツリスト 搭載型式適応号機 HC140 11001- 目次 E-2 シリンダーヘッド E-3 シリンダーバレル E-6 クランケースカバー E-7 クランクシャフト E-8 ピストン E-9 カムシャフト E-11 リコイルスターター E-12 ファンカバー E-14 キャブレター E-15 エアークリーナー E-16 マフラー E-17 フューエルタンク

More information

品質管理における寸法検査完成されたソリューションの提供

品質管理における寸法検査完成されたソリューションの提供 ? Creaform 3D CMM 3D 0.1 10 0.020 mm Creaform CMM Creaform 3D 3D CMM 0.1 m 1 m 10 m HandySCAN 3D 0.030 mm HandyPROBE 0.064 mm MetraSCAN 3D 0.064 0.064 mm + MetraSCAN 3D R-Series 3D : 1 MaxSHOT 3D HandyPROBE

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

2016年度招生簡章 持有留學以外的其他簽證者(在日本居住)

2016年度招生簡章 持有留學以外的其他簽證者(在日本居住) 广 岛 YMCA 专 门 学 校 日 语 专 业 2016 年 度 (4 月, 10 月 ) 入 学 1. 招 生 班 级 ( 包 括 留 学 生 签 证 的 学 生 ) * 一 年 课 程 ( 只 限 于 四 月 入 学 ):60 名 * 两 年 课 程 ( 只 限 于 四 月 入 学 ):60 名 * 一 年 半 课 程 ( 只 限 于 十 月 入 学 ):60 名 2. 上 课 时 间 ( 教

More information

木製家具類 品目番号 松 H30-1 品目名 チェスト白 価格 2,500 円 ( 税込 ) 重さ 29.6 kg 仕様 幅 60 cm奥行 40 cm高さ 85 cm 品目番号 松 H30-2 品目名 チェスト茶 価格 2,300 円 ( 税込 ) 重さ 23.2 kg 仕様 幅 44 cm奥行

木製家具類 品目番号 松 H30-1 品目名 チェスト白 価格 2,500 円 ( 税込 ) 重さ 29.6 kg 仕様 幅 60 cm奥行 40 cm高さ 85 cm 品目番号 松 H30-2 品目名 チェスト茶 価格 2,300 円 ( 税込 ) 重さ 23.2 kg 仕様 幅 44 cm奥行 木製家具類 品目番号 松 H30-1 品目名 チェスト白 価格 2,500 円 ( 税込 ) 重さ 29.6 kg 仕様 幅 60 cm奥行 40 cm高さ 85 cm 品目番号 松 H30-2 品目名 チェスト茶 価格 2,300 円 ( 税込 ) 重さ 23.2 kg 仕様 幅 44 cm奥行 39 cm高さ 93 cm 品目番号 松 H30-3 品目名 チェストピンク 価格 2,500 円 (

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

untitled

untitled Delphi 2 3 Delphi 4 5 Delphi 6 Delphi 2 1 3 Delphi 4 1 5 Delphi 6 1 7 Delphi 8 1 9 Delphi 10 1 11 Delphi 12 1 13 Delphi 14 1 15 Delphi 16 1 17 Delphi 18 1 19 Delphi 20 1 21 Delphi 22 1 23 Delphi DISTR

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

PARTS LIST MH60 PARTS NO /August

PARTS LIST MH60 PARTS NO /August PARTS LIST PARTS NO.5358 9810 000 2014/August 目次 Table of Contents 5358 000A エンジン Engine 1 5358 010A エンジンコントロール Engine Control 2 5358 020A デンソウ Electric 3 5358 100B フレーム Frame 4 5358 110B ハンドル HANDOLE

More information

<81798B9B89EE97DE817A95FA8ECB945C945A93788C8B89CA2E786C7378>

<81798B9B89EE97DE817A95FA8ECB945C945A93788C8B89CA2E786C7378> 川崎港で採取された魚介類の放射能濃度測定結果 測定方法 : 食品中の放射性セシウム検査法 ( 厚労省 : 平成 24 年 3 月 15 日付け 食安発 0315 第 4 号別添 ) 使用測定器 : ゲルマニウム半導体検出器 ( キャンベラジャパン株式会社製 GC2518-7500RDC-2002CSL) 測定機関 : 川崎市健康安全研究所 食品衛生法上の基準値 ( 一般食品 ) Cs134 と Cs137

More information

刈払機肩掛式ゼノアブランド TR2611 部品明細書 ハスクバーナ ゼノア株式会社

刈払機肩掛式ゼノアブランド TR2611 部品明細書 ハスクバーナ ゼノア株式会社 刈払機肩掛式ゼノアブランド 部品明細書 ハスクバーナ ゼノア株式会社 ( 目次 ) エンジンブロック 電装 クラッチ燃料供給系 外装キャブレタ スタータ操作桿 ギヤケース 付属品ハンドル回り () ハンドル回り (S W) ハンドル回り (ST) スロットルレバー (S W) スロットルレバー (ST) エンシ ンフ ロック 電装 クラッチ エンシ ンフ ロック 電装 クラッチ 索引使用部品番号品名仕様適用号機標準価格番号個数

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

貿易投資相談ニュース臨時増刊号

貿易投資相談ニュース臨時増刊号 臨 時 増 刊 号 Oct.6, 2009 Asian Business Advisory Office Monthly Special Edition 総 合 研 究 所 ア ジ ア 業 務 室 越 境 貿 易 における 人 民 元 決 済 の 解 禁 について ~ 対 象 地 区 および 取 引 を 限 定 して 試 行 ~ これまで 中 国 企 業 との 貿 易 取 引 は 中 国 の 外 貨

More information

<4D6963726F736F667420576F7264202D203135303432348EC096B1814590A79378836A8385815B8358838C835E815B91E63133378D865F959F8C9A8EA996668BE682CC915391CC95FB88C42E646F63>

<4D6963726F736F667420576F7264202D203135303432348EC096B1814590A79378836A8385815B8358838C835E815B91E63133378D865F959F8C9A8EA996668BE682CC915391CC95FB88C42E646F63> BTMU(China) 実 務 制 度 ニュースレター 国 務 院 の 中 国 ( 福 建 ) 自 由 貿 易 試 験 区 全 体 方 案 の 印 刷 発 行 に 関 する 通 知 福 建 省 で 自 由 貿 易 試 験 区 が 発 足 トランザクションバンキング 部 2015 年 4 月 20 日 国 務 院 は 広 東 天 津 福 建 にこのたび 新 しく 設 けられた 各 自 由 貿 易 試

More information

< B B934B8D87955C2E786C73>

< B B934B8D87955C2E786C73> SH903i 平型 - P903i 平型 - F903i 平型 - N903i 平型 - P903iTV 平型 - 903iシリーズ SH903iTV 平型 - F903iX 平型 - P903iX 平型 - N903iBSC 平型 - SO903i 平型 - SO903iTV 平型 - N904i 平型 - SH904i 平型 - 904iシリーズ F904i 平型 - D904i 平型 - P904i

More information

2016 年夏期フライトスケジュール UK ロンドン ヒースロー (LHR) 便名 運行期間 月火水木金土日出発地 出発時間 到着地 到着時間 KM100 3 月 27 日 - 10 月 29 日 a a a a a a a MLA 7:20 LHR 9:45 4/13 運休 KM102 3 月 2

2016 年夏期フライトスケジュール UK ロンドン ヒースロー (LHR) 便名 運行期間 月火水木金土日出発地 出発時間 到着地 到着時間 KM100 3 月 27 日 - 10 月 29 日 a a a a a a a MLA 7:20 LHR 9:45 4/13 運休 KM102 3 月 2 2016 年夏期フライトスケジュール UK ロンドン ヒースロー (LHR) KM100 3 月 27 日 - 10 月 29 日 a a a a a a a MLA 7:20 LHR 9:45 4/13 運休 KM102 3 月 27 日 - 10 月 29 日 a a a a a a a MLA 17:05 LHR 19:30 KM106 4 月 1 日 - 10 月 28 日 a MLA 15:40

More information

JTHB210-3 FJA xlsx

JTHB210-3 FJA xlsx JTHB0- サイドマウントブラケット 00-- 6 7 0 6 7 索引 記号 品番 品名 個数 適合号機 BT000 サイト マウントフ ラケット 00-77-70- コマツロコ フ レート 00- BTDJDA0 フ ラケットフ ッシュ ( ウチハハ mm, ヒ ンケイ0mm) コマツ 00- BTDJDG0 フ ラケットフ ッシュ ( ウチハハ 7mm, ヒ ンケイ0mm) タシャ 00-

More information

EZ-4206/4216/4304 操作手冊\(繁\)

EZ-4206/4216/4304 操作手冊\(繁\) P/N 920-010521-04 Edition 4 SEP 17 EZ-4206/4216/4304 FCC COMPLIANCE STATEMENT FOR AMERICAN USERS This equipment has been tested and found to comply with the limits for a CLASS A digital device, pursuant

More information

SMA RSMA SMA series 仕様 相当規格 : MIL-C 絶 縁 抵 抗 : 5,000MΩmin.atDC500V 接 触 抵 抗 : 4mΩmax. 特性インピーダンス : 50Ω セミリジットケーブル:0.0865inch 結 合 方 式 : 1/4-36UNS-2A

SMA RSMA SMA series 仕様 相当規格 : MIL-C 絶 縁 抵 抗 : 5,000MΩmin.atDC500V 接 触 抵 抗 : 4mΩmax. 特性インピーダンス : 50Ω セミリジットケーブル:0.0865inch 結 合 方 式 : 1/4-36UNS-2A R series 仕様 相当規格 : MIL-C-39012 絶 縁 抵 抗 : 5,000MΩmin.atDC500V 接 触 抵 抗 : 4mΩmax. 特性インピーダンス : 50Ω セミリジット:0.0865inch 結 合 方 式 : 1/4-36US-2A,2B フレキシブル:RG-316/U,RG-188A/U 定 格 電 圧 : AC500V M BC SA10-2400 -P-085

More information

<4D6963726F736F667420576F7264202D2092868D918C9A8DDE92B28DB895F18D902E646F6378>

<4D6963726F736F667420576F7264202D2092868D918C9A8DDE92B28DB895F18D902E646F6378> BCJ 委 託 調 査 中 国 における 建 築 製 品 の 基 準 規 格 と 認 証 制 度 に 関 する 調 査 報 告 書 2013 年 7 月 株 式 会 社 呉 建 築 事 務 所 呉 東 航 目 次 1 建 築 製 品 の 基 準 規 格 1-1 中 国 の 基 準 規 格 体 系 P.1 1-2 国 家 標 準 P.2 1-3 業 界 標 準 P.2 1-4 建 築 製 品 に 関

More information

2019/5/23 排気量型式グレード年式 タイロッドエンドブーツ ボールジョイントアッパーブーツ ボールジョイントロワーブーツ スタビライザーリンクブーツ ( フロントのみ ) ドラグリンクブーツ CR-V RD 系 RE 系 2000 RD TBC-008 TBC-05

2019/5/23 排気量型式グレード年式 タイロッドエンドブーツ ボールジョイントアッパーブーツ ボールジョイントロワーブーツ スタビライザーリンクブーツ ( フロントのみ ) ドラグリンクブーツ CR-V RD 系 RE 系 2000 RD TBC-008 TBC-05 CR-V RD 系 RE 系 2000 RD1 95.10-97.08 TBC-008 TBC-058 TBC-016 ( スタヒ リンクフ ーツ ) 97.09-01.08 TBC-006 TBC-058 TBC-016 ( スタヒ リンクフ ーツ ) RD2 98.12-01.08 TBC-006 TBC-058 TBC-016 ( スタヒ リンクフ ーツ ) RD4 5 01.08-02.10

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

JTHB30-3 FJA xlsx

JTHB30-3 FJA xlsx JTHB0- サイドマウントブラケット BTTABA0 サイト マウントフ ラケット 00- -0- コマツロコ フ レート 00- BTBJBM0 フ ラケットフ ッシュ ( ウチハハ mm, ヒ ンケイ mm) コマツ 00-0 BTBJBF0 フ ラケットフ ッシュ ( ウチハハ mm, ヒ ンケイmm) タシャ 00- BTBJBN0 フ ラケットフ ッシュ ( ウチハハ mm, ヒ ンケイmm)

More information

この冊子は 募集要項 ( 願書 ) ではありませんので, 試験時間 場所等の記載はありません 出願 ( インターネット出願 ) を行う前に, 必ず 募集要項 (76 ページ参照 ) を確認してください 目 次 平成 31 年度入試の主な変更点 1 インターネット出願について 2 1. アドミッション

この冊子は 募集要項 ( 願書 ) ではありませんので, 試験時間 場所等の記載はありません 出願 ( インターネット出願 ) を行う前に, 必ず 募集要項 (76 ページ参照 ) を確認してください 目 次 平成 31 年度入試の主な変更点 1 インターネット出願について 2 1. アドミッション この冊子は 募集要項 ( 願書 ) ではありませんので, 試験時間 場所等の記載はありません 出願 ( インターネット出願 ) を行う前に, 必ず 募集要項 (76 ページ参照 ) を確認してください 目 次 平成 31 年度入試の主な変更点 1 インターネット出願について 2 1. アドミッション ポリシー 3 2. 入学者選抜実施日程 11 3. 募集人員 13 4. 入学者選抜方法等 14 (1)

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

ケイグランド価格表 (E 型 ) 標準価格 MODEL SIZE 改定平成 20 年 11 月 21 日 No. 1 平成 15 年 3 月 1 日 No. 1 MODEL MODEL ESBG EOG SIZE SIZE ESOG ノックアウト用屋外仕様 ノックアウト用

ケイグランド価格表 (E 型 ) 標準価格 MODEL SIZE 改定平成 20 年 11 月 21 日 No. 1 平成 15 年 3 月 1 日 No. 1 MODEL MODEL ESBG EOG SIZE SIZE ESOG ノックアウト用屋外仕様 ノックアウト用 ケイグランド価格表 (E 型 ) 改定平成 20 年 11 月 21 日 No. 1 平成 15 年 3 月 1 日 No. 1 ESBG EOG ESOG ノックアウト用屋外仕様 ノックアウト用 リミット用 小型コネクタ 06 12 06 16 06 12 06 16 122 370 122 06 16 08 16 06 16 09 16 134 08 12 12 16 420 08 12 11

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

拠点 第 14 号様式 ( 第 43 条 ) 大分類 中分類 コード 小分類 名称 運搬機器類 No. 単位 年月日 H 証書番号 出納事由 購入 品質 形状 その他 アルメリア ( ミーティンク チェア ) 専用台車 (40 脚 ) 物品管理簿 増 減 現在高 数量 単

拠点 第 14 号様式 ( 第 43 条 ) 大分類 中分類 コード 小分類 名称 運搬機器類 No. 単位 年月日 H 証書番号 出納事由 購入 品質 形状 その他 アルメリア ( ミーティンク チェア ) 専用台車 (40 脚 ) 物品管理簿 増 減 現在高 数量 単 コード 4 名称 運搬機器類 No. 単位 アルメリア ( ミーティンク チェア ) 専用台車 (4 脚 ) 増 減 現在高 数量 単価 金額 数量 単価 金額 数量 単価 金額 整理番号 2 4, 82, 2 2F 倉庫 台車 23,8 23,8 3 2F 倉庫 5,8 コード 5 名称 家具 建具類 No. 単位 平テ スク ( ヘ ールク レー ) 増 減 現在高 数量 単価 金額 数量 単価

More information

期日平成 25 年 8 月 3 日 ( 土 ), 8 月 4 日 ( 日 ) 会場 主催 後援 府中市少年サッカー場 府中市朝日サッカー場 府ロクサッカークラブ 府ロク OB 会, 府ロクサミット

期日平成 25 年 8 月 3 日 ( 土 ), 8 月 4 日 ( 日 ) 会場 主催 後援 府中市少年サッカー場 府中市朝日サッカー場 府ロクサッカークラブ 府ロク OB 会, 府ロクサミット 期日平成 25 年 8 月 3 日 ( 土 ), 8 月 4 日 ( 日 ) 会場 主催 後援 府中市少年サッカー場 府中市朝日サッカー場 サッカークラブ OB 会, サミット A グループ (1 日目 : 予選リーグ ) A リーグ 川越ひまわりフレント リー 勝点 得点失点得失点 オレンジ 川越ひまわりピンク ウエスト ホワイト Bリーグ 浦和大久保 フレント リー 川越ひまわり 浦和大久保シルバー

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

目 次 第 1 章 はじめに 1 1 本 研 究 の 目 的 1 1 2 先 行 研 究 2 1 3 本 研 究 の 構 成 9 1 4 略 語 収 集 の 方 針 10 第 2 章 原 形 分 割 単 位 (1): 段 2 1 略 語 構 成 過 程 における 原 形 分 割 13 2 2 段 の

目 次 第 1 章 はじめに 1 1 本 研 究 の 目 的 1 1 2 先 行 研 究 2 1 3 本 研 究 の 構 成 9 1 4 略 語 収 集 の 方 針 10 第 2 章 原 形 分 割 単 位 (1): 段 2 1 略 語 構 成 過 程 における 原 形 分 割 13 2 2 段 の 日 本 大 学 大 学 院 文 学 研 究 科 学 位 論 文 現 代 中 国 語 略 語 研 究 構 成 過 程 上 の 制 約 と 数 詞 の 位 置 決 定 規 則 学 位 申 請 者 星 健 一 Kenichi HOSHI 平 成 25 年 11 月 7 日 目 次 第 1 章 はじめに 1 1 本 研 究 の 目 的 1 1 2 先 行 研 究 2 1 3 本 研 究 の 構 成 9 1

More information

リスニング 1 1 1.⑴~⑸のAの 発 話 に 対 するBの 問 いの 答 えとして 最 も 適 当 なものを,それぞれ 1~4の 中 から1つ 選 び,その 番 号 を 解 答 欄 にマークしなさい (25 点 ) ⑴ ⑵ ⑶ ⑷ ⑸ 1

リスニング 1 1 1.⑴~⑸のAの 発 話 に 対 するBの 問 いの 答 えとして 最 も 適 当 なものを,それぞれ 1~4の 中 から1つ 選 び,その 番 号 を 解 答 欄 にマークしなさい (25 点 ) ⑴ ⑵ ⑶ ⑷ ⑸ 1 第 89 回 (2016 年 6 月 ) 中 国 語 検 定 試 験 2 級 ( 全 11 ページ 解 答 時 間 120 分 ) 受 験 上 の 注 意 ⑴ 試 験 監 督 の 指 示 があるまで, 問 題 冊 子 を 開 いてはいけません ⑵ リスニング 試 験 終 了 後, 試 験 監 督 の 指 示 があるまで, 退 室 はできません ⑶ 退 室 時 は, 解 答 用 紙 を 裏 返 して

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

石川西土地区画整理事業 住所旧新 新旧対照表 換地処分公告日 : 平成 29 年 2 月 10 日 変更日 : 平成 29 年 2 月 11 日 ( 注 ) 住所の対照表であり土地 ( 地番 ) の対照表ではありません うるま市役所都市建設部都市政策課

石川西土地区画整理事業 住所旧新 新旧対照表 換地処分公告日 : 平成 29 年 2 月 10 日 変更日 : 平成 29 年 2 月 11 日 ( 注 ) 住所の対照表であり土地 ( 地番 ) の対照表ではありません うるま市役所都市建設部都市政策課 石川西土地区画整理事業 住所旧新 新旧対照表 換地処分公告日 : 平成 29 年 2 月 10 日 ( 注 ) 住所の対照表であり土地 ( 地番 ) の対照表ではありません うるま市役所都市建設部都市政策課 旧新対照表 ( 個人 ) 石川西旧新住所対照表 ( 個人 ) 連番 旧住所 新住所 方書 備考 1 石川 808 番地 2 石川 886 番地 11 2 石川 808 番地 3 石川 886 番地

More information

品目等内訳書 ページ 1 契約実施計画番号 8S6G1BA00060 グループ :Aグループ調達要求番号物品番号単位数量品名 NO 単価部品番号または規格 銘 柄 金額使用期限等 納地引渡場所搬入場所 指定 検査 使用器材名 仕様書番号 納 期 包装 8SW91A EA 2.00

品目等内訳書 ページ 1 契約実施計画番号 8S6G1BA00060 グループ :Aグループ調達要求番号物品番号単位数量品名 NO 単価部品番号または規格 銘 柄 金額使用期限等 納地引渡場所搬入場所 指定 検査 使用器材名 仕様書番号 納 期 包装 8SW91A EA 2.00 8S6GBA00060 グループ :Aグループ調達要求番号物品番号単位数量品名 8SW9A0007 000 EA.00 メンズチェアランサー ( ベーシック ) VT BB-HPBN-VT 平成 年 月 0 日 8SW9A0007 000 理容シャンプー機器サロンコンソールCU P-CUGSX 平成 年 月 0 日 8SW9A0007 000 UN.00 理容シャンプー機器サロンコンソールCU コンソールCU

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

< E937893FC8E8E8EC08E7B8C8B89CA C668DDA A2E786C73>

< E937893FC8E8E8EC08E7B8C8B89CA C668DDA A2E786C73> 個別学力検査等 ( 前期日程 ) 個別学力事項志願者合格者合格者合格者入学追加募集人員志願者数検査等合格者数総合点入学者数 学群 学類 倍率 受験者数 最高点 最低点 平均点 辞退者数 合格者 人文 文化人文学類 70 229 3.3 225 82 1,350 1,092 912 960.9 6 0 76 50 154 3.1 148 57 1,800 1,429 1,248 1,304.8 7 1

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

<4D6963726F736F667420576F7264202D20959F89AA8D5D9168838A83548343834E838B8CF097AC875595F18D908F91816993FA95B68DC58F4994C5816A2E646F63>

<4D6963726F736F667420576F7264202D20959F89AA8D5D9168838A83548343834E838B8CF097AC875595F18D908F91816993FA95B68DC58F4994C5816A2E646F63> 平 成 23 年 度 インフラ システム 輸 出 促 進 調 査 等 委 託 費 自 治 体 間 ( 福 岡 県 - 江 蘇 省 ) 連 携 に よる 循 環 型 都 市 協 力 推 進 調 査 事 業 報 告 書 平 成 24 年 3 月 経 済 産 業 省 目 次 I. 調 査 概 要... 1 I-1. 背 景 と 目 的... 1 I-2. 調 査 概 要... 2 I-3. 調 査 体

More information

<4D6963726F736F667420576F7264202D20315F3130333030325FA57EBB79B8735FB0F2B6A9A5ABA870A5DFA5FAB6A9B0AAAFC5AE61A8C6B0D3B77EC2BEB77EBEC7AED55FA4E9A4E5C3FE5FBDD7A4E5C3FE5F4C494E45A142576543686174A1425768617473417070A8CFA5CEAE74B2A7AABAA4C0AA52A1D

<4D6963726F736F667420576F7264202D20315F3130333030325FA57EBB79B8735FB0F2B6A9A5ABA870A5DFA5FAB6A9B0AAAFC5AE61A8C6B0D3B77EC2BEB77EBEC7AED55FA4E9A4E5C3FE5FBDD7A4E5C3FE5F4C494E45A142576543686174A1425768617473417070A8CFA5CEAE74B2A7AABAA4C0AA52A1D 全 國 高 職 學 生 103 年 度 專 題 暨 創 意 製 作 競 賽 報 告 書 封 面 群 別 : 外 語 群 參 賽 作 品 名 稱 :LINE WeChat WhatsApp 使 用 差 異 的 分 析 - 以 學 校 學 生 為 中 心 - 關 鍵 詞 :LINE WeChat WhatsApp I 要 旨 時 代 の 変 化 につれて 人 はスマートフォンを 使 い 始 めました いろいろな

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

コントロールスイッチ カムスイッチ B 形,BH 形 標準展開図 操作機構自動復帰式 引操作式自動復帰式自動復帰式 ( 残留接点付 ) 引押式 90 2 段切替式 目次 ノッチ記号接点数掲載ページ操作機構ノッチ記号接点数掲載ページ S,SB B,A 2,4,6,8 2,4 B31~34 B35 45

コントロールスイッチ カムスイッチ B 形,BH 形 標準展開図 操作機構自動復帰式 引操作式自動復帰式自動復帰式 ( 残留接点付 ) 引押式 90 2 段切替式 目次 ノッチ記号接点数掲載ページ操作機構ノッチ記号接点数掲載ページ S,SB B,A 2,4,6,8 2,4 B31~34 B35 45 コントロールスイッチ カムスイッチ 形,H 形 操作機構自動復帰式 引操作式自動復帰式自動復帰式 ( 残留接点付 ) 引押式 90 2 段切替式 目次 ノッチ記号接点数掲載ページ操作機構ノッチ記号接点数掲載ページ S,S, 2,4,6,8 2,4 31~34 35 3 段切替式 4 段切替式 F 2,4,6,8,10,12,14,16,18,20,24,28 4,6,8,10,12 43~47 47~48

More information

安装:工业以太网轨道式交换机RS20 基础系列

安装:工业以太网轨道式交换机RS20 基础系列 用 户 手 册 安 装 工 业 以 太 网 轨 道 式 交 换 机 RS20 基 础 系 列 FAULT RS20 FAULT RS20 +24V(P1) 0V 0V +24V(P2) +24V (P1) 0V 0V +24V (P2) P 1 2 FAULT P 1 2 FAULT 1 IP-ADDRESS V.24 IP-ADDRESS V.24 1 3 4 2 2 Aufkleber MAC-Adresse

More information

㉘歯周疾患検診等結果

㉘歯周疾患検診等結果 1 調査項目 市町数 全 体 4 対象者数要精検者数 34 39 項現在歯数 39 歯肉出血 BOP 35 目歯周ポケット PD 35 歯間部清掃用具の使用状況 4 別定期的な歯石除去等の受診状況 4 定期的な歯科健診の受診状況 4 82 目標値達成者数 39 平成 28 年度歯周疾患検診等結果のまとめ 兵庫県健康福祉部健康局健康増進課調べ 2 歳 は 15~19 歳 と 2~24 歳 のデータの平均値

More information

untitled

untitled TresCon UNO TresCon UNO TresCon UNO 1....4 1.1... 4 1.2... 4 1.2.1...4 1.2.2...5 1.2.3...5 1.2.4...5 1.2.5...5 1.2.6...6 1.2.7...6 1.3... 6 1.3.1...6 1.3.2 /...7 2...7 2.1... 7 2.2... 7 2.3...7 2.4...

More information

<444D977092868D91906C906C8DDE8376838D83748342815B838B817C2832303134303229947A957A2E786C73>

<444D977092868D91906C906C8DDE8376838D83748342815B838B817C2832303134303229947A957A2E786C73> メイテックが 即 戦 力 の 中 国 人 材 をご 紹 介 いたします 2014 年 2 月 号 明 达 科 创 业 于 1974 年, 面 向 主 要 700 家 共 4000 家 制 造 型 企 业 派 遣 技 术 工 程 师 现 在 中 国 设 立 三 处 独 立 法 人 公 司 ( 上 海 西 安 成 都 ), 面 向 制 造 型 日 企 提 供 以 技 术 和 人 为 中 心 的 各 种

More information

H1428

H1428 第 1 章 陽 光 穿 透 薄 紗 窗 簾, 迤 邐 灑 落 在 床 上 兩 副 相 偎 的 赤 裸 胴 體 上 一 雙 長 睫 搧 了 搧, 略 略 張 開 眼 皮, 露 出 一 對 黑 盈 盈 的 美 眸 從 落 地 窗 穿 透 進 來 的 璀 璨 艷 陽 令 女 子 微 瞇 起 眼, 突 地 發 現 眼 前 有 個 障 礙 物, 不 禁 怔 愣 了 下, 待 意 識 到 障 礙 物 是 什 麼

More information

2016/6/30 時点で修理受付可能な機種を掲載しています 通常修理料金品名 型式 修理終了年月 その他 体重体組成計 HBF 年 7 月終了 体重体組成計 HBF-202 体重体組成計 HBF-203 体重体組成計 HBF 年 6 月終了 体重体組成計 HBF

2016/6/30 時点で修理受付可能な機種を掲載しています 通常修理料金品名 型式 修理終了年月 その他 体重体組成計 HBF 年 7 月終了 体重体組成計 HBF-202 体重体組成計 HBF-203 体重体組成計 HBF 年 6 月終了 体重体組成計 HBF 2016/6/30 時点で修理受付可能な機種を掲載しています 通常修理料金品名 型式 修理終了年月 その他 HBF-201 2017 年 7 月終了 HBF-202 HBF-203 HBF-204 2017 年 6 月終了 HBF-207 HBF-208IT HBF-212 HBF-214 HBF-215F HBF-216 HBF-217 HBF-220 HBF-223 HBF-224 HBF-251

More information

<834A838A834C C2E786C7378>

<834A838A834C C2E786C7378> 1 普通科 総合コース 教科 科目 1 学年 2 学年 3 学年 国語 国語総合 4 現代文 B 4 4 地歴 日本史 B 4 世界史 A 3 公民 現代社会 2 数学 数学 Ⅰ 4 数学 A 2 数学 Ⅱ 4 数学特講 Ⅰ 3 理科 物理基礎 2 化学基礎 2 生物基礎 2 生物 4 保健体育保健 1 1 体育 2 3 2 芸術 音楽 Ⅰ (2) 美術 Ⅰ (2) 書道 Ⅰ (2) 外国語 コミュニケーション英語

More information

トプコン JSIMA 適用区分一覧表 1. レベル 2. セオドライト 3. トータルステーション 4. レーザ測量機器 製品に関するお問合せは トプコンポジショニングコールセンターまでお願い致します TEL /8

トプコン JSIMA 適用区分一覧表 1. レベル 2. セオドライト 3. トータルステーション 4. レーザ測量機器 製品に関するお問合せは トプコンポジショニングコールセンターまでお願い致します TEL /8 トプコン JSIMA 適用区分一覧表 1. レベル 2. セオドライト 3. トータルステーション 4. レーザ測量機器 製品に関するお問合せは トプコンポジショニングコールセンターまでお願い致します TEL 0120-54-1199 1/8 1. レベル 自動レベル 電子レベル チルチングレベル モデル名 AT-F1 AT-F1A AT-F2 AT-F3 AT-F5 AT-F6 AT-G1 AT-G2

More information

< D F8089BB95F18D902D392E786C73>

< D F8089BB95F18D902D392E786C73> 項目別統計結果一覧 項 目 総蛋白 1.03 項 目 アルブミン 0.99 試 料 試 料 報告値 5.80 7.90 報告値 3.80 5.10 参考値 6.00 8.10 目標値 3.70 5.10 偏 り -3.33% -.47% 偏 り.70% 補正係数 1.03 1.03 補正係数 0.97 1.00 ビウレット法 BCG 項 目 総ビリルビン 1.0 項 目 尿素窒素 1.0 試 料 試

More information

<91DD8A9492B489DF E786C73>

<91DD8A9492B489DF E786C73> 1309 上証 50 連動投信 20130621 20130626 1,444 1 5.00 17,880 1309 上証 50 連動投信 20130624 20130627 3,236 1 5.00 16,820 1309 上証 50 連動投信 20130625 20130628 5,456 3 15.00 17,030 1309 上証 50 連動投信 20130626 20130701 3,326

More information

<DADDBCDECCB0C4DECCA7DDBDB2AFC191CE899E955C2E786C73>

<DADDBCDECCB0C4DECCA7DDBDB2AFC191CE899E955C2E786C73> 対象機種 品名コード品名品名コード タカラレンシ フート ファンスイッチ対応表 ( シロッコ ターホ ファン用 ) 標準スイッチ 品名 供給 供給可否 常備非常備 部品センター 品名コード 品名 常備非常備 部品センター 備考 2009.7.10 更新 461271 VB-60 M 196329 VBスイッチクミ ( カン ) 否 供給不可 ( 代替無し ) 461273 VB-75 M 196329

More information

品目等内訳書 ページ 1 契約実施計画番号 8S6G1BA00070 グループ :Aグループ調達要求番号物品番号単位数量品名 NO 単価部品番号または規格 銘 柄 金額使用期限等 納地引渡場所搬入場所 指定 検査 使用器材名 仕様書番号 納 期 包装 8SW91A UN 1.00

品目等内訳書 ページ 1 契約実施計画番号 8S6G1BA00070 グループ :Aグループ調達要求番号物品番号単位数量品名 NO 単価部品番号または規格 銘 柄 金額使用期限等 納地引渡場所搬入場所 指定 検査 使用器材名 仕様書番号 納 期 包装 8SW91A UN 1.00 8S6GBA00070 グループ :Aグループ調達要求番号物品番号単位数量品名 8SW9A0007 000 UN.00 メンズチェアランサー ( ベーシック ) VT BB-HPBN-VT 平成 年 月 0 日 8SW9A0007 000 UN.00 理容シャンプー機器サロンコンソールCU P-CUGSX 平成 年 月 0 日 8SW9A0007 000 ST.00 理容シャンプー機器サロンコンソールCU

More information

微量急速凝固採血管を用いた 自己採血検査の検討 日本赤十字社医療センター検査部 喜島康雄

微量急速凝固採血管を用いた 自己採血検査の検討 日本赤十字社医療センター検査部 喜島康雄 微量急速凝固採血管を用いた 自己採血検査の検討 日本赤十字社医療センター検査部 喜島康雄 検討内容 生化学 22 項目 血算 5 項目における血と血の相関及び 室温 冷蔵における保存安定性の検討 対象 : 健常者男性 29 名 女性 23 名計 52 名 自己採血キット : ヘルス ウェーブ ジャパン Self Dock Club 採血管 : 生化学 血算用セキスイインセパック SQⅡ 生化学分析

More information

 平成18年度家屋研報告書.indd

 平成18年度家屋研報告書.indd ( ) 2014151517 1515(15(15)(16) 1 1 2 10.5 9 1,643,568 684,901 6000 9.5 8 ()47 3 2006 11 ( ) X Y 75 25 X Y / 6580.0 / 4893.5 / / 4385.9 / / 4987.4 / 5322.0 / 1202.3 / / 3633.1 / / 1243.9

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

< D F8089BB95F18D902D392E786C73>

< D F8089BB95F18D902D392E786C73> 項目別統計結果一覧 項 目 総蛋白 1.0 項 目 アルブミン 0.97 試 料 試 料 報告値 6.09 8.1 報告値 4.09 5.46 参考値 6.0 8.30 目標値 3.95 5.30 偏 り -1.77% -.17% 偏 り 3.54% 3.0% 補正係数 1.0 1.0 補正係数 0.97 0.97 ビウレット法 BCG 項 目 総ビリルビン 0.98 項 目 尿素窒素 1.04 試

More information

仮想テープ製品 ハードウェア/ソフトウェアコネクティビティ (販売停止製品)

仮想テープ製品 ハードウェア/ソフトウェアコネクティビティ (販売停止製品) 仮想テープ製品 T3200VT ライブラリハードウェアコネクティビティ ( 販売停止製品 ) (Last Update: 2011 年 04 月 15 日 ) Linux FC HBA Server 2008 R2 (x64) Server 2008 (x64) Server 2008 (IA32) Server 2003 (IA64) Server 2003 (x64) Server 2003 (IA32)

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

IP Camera - EIZO IP Monitor Compatibility

IP Camera - EIZO IP Monitor Compatibility カメラ カメラバージョンバージョンバージョンバージョンバージョンバージョンバージョンバージョンファームウェア 4.3xxx 4.20xx 4.10xx 4.00xx 3.00xx 2.00xx 1.0001 1.0000 WV-SW598J - WV-SW598 - DG-SW396 - WV-SW396J - WV-SW396 - DG-SW396A - WV-SW396AJ - WV-SW396A

More information

トレーサビリティ体系図 (Traceability Chart) 直流電圧 (DC Voltage) 国家標準 上位機関 (Upper Authority) 独立行政法人産業技術総合研究所 (National Institute of Advanced Industrial Science and

トレーサビリティ体系図 (Traceability Chart) 直流電圧 (DC Voltage) 国家標準 上位機関 (Upper Authority) 独立行政法人産業技術総合研究所 (National Institute of Advanced Industrial Science and 直流電圧 (DC Voltage) (Upper Authority) 直流電圧基準器 (DC Voltage Reference Standard) 直流電圧基準器 (DC Voltage Reference Standard) 直流電圧測定器 (DC Voltage Measuring Instrument) 標準分圧器 (Standard Voltage Ratio Box) 直流電圧発生器

More information

MOMO WHEEL 輸入車アプリケーション 2015/03/30 版 スライドボルト仕様 フェンダー注意 AUDI 1 要純正フェンダーモールディング 1 キャリパー注意 2 要ローダウン WHEEL COLOR SIZE NUMBER OFF WHEEL CAR WHEE HXP.C.D LHU

MOMO WHEEL 輸入車アプリケーション 2015/03/30 版 スライドボルト仕様 フェンダー注意 AUDI 1 要純正フェンダーモールディング 1 キャリパー注意 2 要ローダウン WHEEL COLOR SIZE NUMBER OFF WHEEL CAR WHEE HXP.C.D LHU LHUB MAKER YEAR GRAE NUT/ M-50 Hypersilver 7 x 16 W50H70641500 41 5x100 72.3 ALFA 147 2001/10~2005/3 2.0TS/2.0TSセレスヒ ート 5x98 58.1 ACO1225 スライドボルト使用 M-50 Hypersilver 7 x 17 W50H70735500 35 5x100 72.3 ALFA

More information

PARTS LIST BRIGGS For CMX2106 January 2019 BRIGGS

PARTS LIST BRIGGS For CMX2106 January 2019 BRIGGS PARTS LIST BRIGGS 385447 For CMX2106 January 2019 BRIGGS 385447 385447-04 エアクリーナグループ Air Cleaner Group 11 ZB806379 チューフ Tube-Breather 1 163 ZB692087 カ スケット Gasket-Air Cleaner 1 163A ZB841823 カ スケット Gasket-Air

More information

中 文 摘 要 本 專 題 旨 在 探 究 台 灣 與 日 本 的 水 果 產 業 發 展 現 況 及 問 題 點, 及 兩 者 水 果 種 類 產 地 和 進 出 口 狀 況 同 時, 透 過 問 卷 調 查, 藉 此 了 解 消 費 者 的 消 費 習 慣 及 需 求, 提 供 水 果 商 進

中 文 摘 要 本 專 題 旨 在 探 究 台 灣 與 日 本 的 水 果 產 業 發 展 現 況 及 問 題 點, 及 兩 者 水 果 種 類 產 地 和 進 出 口 狀 況 同 時, 透 過 問 卷 調 查, 藉 此 了 解 消 費 者 的 消 費 習 慣 及 需 求, 提 供 水 果 商 進 全 國 高 職 學 生 104 年 度 專 題 暨 創 意 製 作 競 賽 專 題 組 論 文 類 複 賽 作 品 說 明 書 封 面 群 別 : 外 語 群 參 賽 作 品 名 稱 : 台 日 水 果 產 業 比 較 ( 台 湾 と 日 本 の 果 物 産 業 の 比 較 ) 關 鍵 字 (キーワード): 水 果 產 業 ( 果 物 産 業 ) 產 銷 履 歷 ( 追 跡 可 能 履 歴 ) 中

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

78600000000600

78600000000600 B202H 指 导 手 册 票 务 热 敏 打 印 机 CUSTOM S.p.A. Via Berettine 2/B 43010 Fontevivo (PARMA) - Italy Tel. : +39 0521-680111 Fax : +39 0521-610701 http: www.custom.biz 客 户 技 术 支 持 : Email : support@custom.it 2016

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

(9) ACL02 業務出力情報 ( サンプル版 ) SAT051 船積確認事項情報 ( 在来船本情報 ) 登録年月日積載予定船舶 AB12C - 積出港 JPYOK - YOKOHAMA, JAPAN 航海番号 0010A 船会社 AABB ブッキング番号 TEST 送信総件

(9) ACL02 業務出力情報 ( サンプル版 ) SAT051 船積確認事項情報 ( 在来船本情報 ) 登録年月日積載予定船舶 AB12C - 積出港 JPYOK - YOKOHAMA, JAPAN 航海番号 0010A 船会社 AABB ブッキング番号 TEST 送信総件 (9) ACL02 業務出力情報 ( サンプル版 ) SAT051 船積確認事項情報 ( 在来船本情報 ) 登録年月日積載予定船舶 AB12C 積出港 JPYOK YOKOHAMA, JAPAN 航海番号 0010A 船会社 AABB ブッキング番号 TEST223456789 送信総件数 登録者 荷送人 10001 海貨業者 2TEST NACCS UNYU CO., LTD. 荷送人名 NACCS

More information

ぶつかり稽古ランキング 2016/5/31 現在 前回順位 1 酒井 28 戦 26 勝 2 敗 石田 32 戦 26 勝 6 敗 小山 72 戦 57 勝 15 敗 高木 51 戦 38 勝 13 敗 寺谷 37 戦 27 勝 10

ぶつかり稽古ランキング 2016/5/31 現在 前回順位 1 酒井 28 戦 26 勝 2 敗 石田 32 戦 26 勝 6 敗 小山 72 戦 57 勝 15 敗 高木 51 戦 38 勝 13 敗 寺谷 37 戦 27 勝 10 ぶつかり稽古ランキング 2016/5/31 現在 前回順位 1 酒井 28 戦 26 勝 2 敗.929 1 2 石田 32 戦 26 勝 6 敗.813 3 3 小山 72 戦 57 勝 15 敗.792 2 4 高木 51 戦 38 勝 13 敗.745 4 5 寺谷 37 戦 27 勝 10 敗.730 5 6 金野 35 戦 21 勝 14 敗.600 7 7 梅林 60 戦 35 勝 25

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

R 中 国 软 件 和 服 务 外 包 ( 原 名 中 国 进 出 口 软 件 2004 年 6 月 10 日 创 刊 ) CHINA SOFTWARE & SERVICE OUTSOURCING 2015 年 08 月 号 顾 问 怀 进 鹏 工 业 和 信 息 化 部 副 部 长 杨 芙 清 中 国 科 学 院 院 士 陈 俊 亮 中 国 科 学 院 院 士 中 国 工 程 院 院 士 倪 光

More information