Microsoft Word - MG3690B Chinese Datasheet v2.doc

Size: px
Start display at page:

Download "Microsoft Word - MG3690B Chinese Datasheet v2.doc"

Transcription

1 产品手册 MG3690B 射频 / 微波信号发生器 0.1Hz ~ 70 GHz/325 GHz 安立公司

2 MG3690B 系列信号 读出十分方便 1/4 的 VGA LCD 背景直接显示仪表状态和测量设置菜单 功能键 把仪器的功能整合在简单的操作里如 : 配置 GPIB 接口和输入 / 输出接口 ; 保密工作模式 ; 自检 ; 保存和调用多达 10 个的仪器前面板设置 方便的参数输入和编辑使用数字键, 四个方向键或可输入数据的旋钮 i. 外观更小巧 13.3 cm 高仅有 45 cm 深 3u 高 这是在 A.T.E. 机柜上可占据的最小尺寸 软操作键菜单引导您快速实现所需要的仪表配置 友好直观的菜单操作步骤甚至可以使您不必翻阅操作手册!( 尽管如此, 手册中还是有很多其它有用的信息, 请认真阅读 ) 设置频率从 0.1 Hz 到 70 GHz 步长 0.01 Hz. 功率输出范围 +30 到 120 dbm 步长 0.01 db.

3 最高价值体现性能丝毫不减 通常人们对微波合成信号源的性能要求苛刻, 而且设备投资也有规划 如果您希望得到的信号源, 不仅要求物有所值, 而且性能丝毫不减 它不仅可以满足您现在的需求, 又可以在日后以合理的成本进行升级, 从而满足您未来的需求, 并且不会加大您的测试仪表预算 安立公司现在为您提供最优性能和最高价值兼备的 MG3690B 系列合成信号源, 帮助您达成所愿 对于特别需求, 请联系安立技术人员, 可得到来自现场和工厂的支持, 为您提供解决方案 MG3690B 合成信号发生器 从基本的 CW 信号源配置到全功能的信号发生器 : 在一个同轴输出可宽带覆盖 : 0.1 Hz 到 70 GHz 6 种型号可供选择, 2 到 和 70 GHz 频率覆盖到 10 MHz 选件 ( 模拟或数字下变频 ) 频率覆盖到 0.1 Hz 选件 毫米波覆盖到 325 GHz, 波导 超低单边带相位噪声选件 110 dbc/hz ( 典型值 ) 在 1 khz 偏置 10 GHz 载波 卓越的谐波和杂波响应 大功率输出选件 10 GHz, +25 dbm(+28dbm) 20 GHz, +23 dbm(+26dbm) 40 GHz, +19 dbm(+21dbm) 50 GHz, +13 dbm(+16dbm) 67 GHz, +9 dbm(+11dbm) CW 和步进扫描模式 ; 模拟扫描选件 <5 ms 的开关时间 ( 典型值 ), <100 MHz 步进 0.01Hz 标准频率分辨率 相位偏置能力 AM 调幅 FM 调频 /Ф M 调相等调制选件 内部 LF 发生器选件 脉冲调制选件 100 ns 平坦度 >1 GHz 内部脉冲发生器选件 IF 上变频选件, 用于 IQ 调制方案 友好直观的菜单驱动的前面板 小巧轻便 经认证的高可靠性, 标准 3 年保修期 完全的可配置能力和可升级能力 高

4 高性能信号发生器 MG3690A 系列可提供全配置的全功能信号发生器, 它具有其它信号发生器系列产品所具有的全部特性, 并且包括信号仿真应用主要的全面的 高性能的调制 该系列信号源所具有的其他特性包括 : 内部脉冲发生器具有扫描延迟, 用于模拟移动目标, 包括单脉冲 双脉冲 三脉冲和四脉冲串 灵活的脉冲触发包括自动触发, 延迟触发, 门出发, 复合触发 100ns 稳幅脉冲宽度 脉冲同步 AM/FM/ФM 0 到 90% AM 幅度调制 ; 对数调制或用线性调制, 从 DC 到 100 khz 户自 4 种 FM 频率调制模式定, 8MHz 速率时偏移可达 10MHz;100Hz 义速率时偏移可达 100 MHz 调 ФM 相位调制制,1 MHz 速率时偏移可达 400 弧度选 内部 AM/FM/ФM 件发生器, 各自带有 7 种调制波形, 用户自定义调制选件可, 可以编制用户自己的调制信号波形以, 下载到仪表内存, 例如用于雷达或天线等应用的调制编 理想应用在自动测试环境 MG3690A 是在安立公司以前的频率合成器的成熟设计的基础之上, 增加了新的特性以满足在新世纪中的最新需求 MG3690A 具有一个得到认证的可靠性记录 : 平均无故障时间 >49,000 小时 这一优势使 MG3690A 能够提供标准保修 3 年的承诺 前面板的圆滑线性设计, 超大 1/4 VGA LCD 显示, 更少的前面板按键和菜单层次 ; 重量更轻 ( 少了 10 公斤 ); 体积更小 ( 短了 15 厘米 ); 使得 MG3690A 更加适合新世纪的需要 自动测试设备 MG3690A 是自动测试系统中最理想的信号发生器 它只在机柜内占用最小的体积 (13.3 厘米高, 450 毫米深 ), 但却能将体现最高的性能 大功率输出, 可以保证信号在经过测试系统交换和电缆损耗之后, 仍然能保有足够的信号强度 精确的稳幅功率输出, 从 -120dBm 以 0.01dB 步进, 可以实现接收机灵敏度的测量 为了达到更高的 MTBF 指标, 电子步进衰减器取代了传统的机械式部件衰减器 快速的 5ms 开关速度使系统的工作效率最大化 内部列表模式, 免除了自动测试系统控制员进行的测量分析任务 免费应用驱动程序, 包括 IVI-COM 驱动以及国家仪器 LabView 驱动程序, 大大节省您的软件开发和维护的时间和成本 如果想进一步节约成本, 选件 17 可以去除前面板和其电路 使用 5 个安立信号源的 Roos Instrument 7100A RFIC 射频集成电路测试仪

5 无与伦比的卓越性能 一台仪表 -- 杰出的 非常干净的射频和微波信号解决方案 安立的 MG3690B 系列合成信号发生器, 采用高新技术, 在整个频谱范围内能够具有超低相位噪声 在 10 MHz 以下频段, 由于采用 DDS 直接数字合成技术, 不仅具有出色的相位噪声指标, 同时还具有优异的频率分辨率 从 10 MHz 到 2.2 GHz, 由于采用新型的数字下变频技术 (DDC), 其相噪特性达到了目前市场上最好的 RF 合成信号源的水准, 比其他微波信号源优于 30dB ~ 50dB 在这一频段, 卓越的单边带相噪特性非常重要, 因为该频段属于非常拥挤的通信频段, 因而需要非常干净的信号 采用 DDC 技术产生的频率, 可以有效消除由于混频结构带来的非谐波杂波信号 对于 2.2GHz 以上的频段, 安立公司采用专利技术, 从而得到目前市场上最好的相位噪声性能 其他公司一般只有 3 或 4 个锁相环, 而安立公司则增加锁相环已达到最好的相位噪声 安立合成信号源真正可以提供一个一揽子方案 : 纯净信号覆盖音频, 射频和微波频段, 如此优异的应用性能在过去需要几台信号源才能实现 左上图显示了 MG3690B 出色的性能, 在 15 MHz 到 20 GHz 的频段内 偏置频率从 1Hz 到 10MHz 的情况下的相位噪声 另一张图给出了安立 MG3690B 与市场上其他宽带信号源的在 10GHz 的对比情况 在宽带范围内,MG3690B 提供的卓越性能远远超过其它产品 优秀的大功率输出选件 : 各种长度的电缆 各种同轴开关矩阵 功分器 耦合器 其它应用, 如 MMIC ATE 测试 这些因素都是您测量中的难题, 并且它们都会在最后的测试器件前, 衰耗掉一部分功率 您想要的是在最后被测器件前, 微波功率在 MG3690B 的输出功率 整个频谱范围内没有丢失, 而且信号纯度指标没有下降 在这种情况下, 您只需增加选件 15, 就可以拥有高达 +20dBm 在 20GHz +21dBm 在 40GHz +16dBm 在 50GHz 和 +10dBm 在 67GHz, 以及良好的谐波性能

6 技术指标 以下指标在 25 ± 10 C 下得到, 典型值为采样测试得到的非确保值. 频率范围 : 型号 / 选件 频率范围 输出接头类型 MG3691B 2 到 10GHz K(f) MG3692B 2 到 20 GHz K(f) MG3693B 2 到 30 GHz K(f) MG3694B 2 到 40 GHz V(f) MG3695B 2 到 50 GHz V(f) MG3696B 2 到 67 GHz V(f) 选件 4 10 MHz~2.2 GHz 视具体型号 选件 5 10 MHz~2 GHz 视具体型号 选件 Hz~10 MHz 视具体型号 选件 4 和 5: 频率向下扩展到 10 MHz 选件 4 和选件 5 用于从基本型号的低端 2GHz 向下扩展到 10MHz 选件 4 采用连续 2 分频电路的数字下变频技术 DDC 它给出了第二种选择, 得到最优的相位噪声指标, 代价是牺牲了 <500MHz 模拟功能 即在这一范围, 没有模拟扫描模式, 脉冲调制性能限于典型值 此外, 频率调制和相位调制的指标也受限于数字下变频的每个频段的分辨率 选件 5 则采用外差混频下变频器方式, 支持所有的模拟性能 选件 22: 频率扩展到 DC 如果要求频率向下扩展到 0.1 Hz, 可以在选件 4 或 5 之外再增加选件 22 选件 22 对于 CW 采用直接频率合成 DDS 技术, 步进扫描模式操作 在 DDS 频段, 调制和模拟扫描不可用 10 MHz 以下的频率分辨率是 0.02 Hz 输出功率在整个仪表的频率范围内降低 2 db CW 模式 输出 : 20 个独立的可预置的 CW 频率 (F0 F9 和 M0 M9) 精度 : 和内部或外部 10 MHz 时基信号相同内部时基稳定度 : 漂移率 : <2 x 10 9 / 天 ( 选件 16,<5 x / 天 ) 温度 : <2 x 10 8 / C 0 C 到 55 C( 选件 16,<2 x / C) 分辨率 : 0.01 Hz 外部 10 MHz 参考输入 : 接受外部 10 MHz ±100 Hz, 0~+20 dbm 时基信号 如果安装了内部高稳定度时基选件, 将自动切断与内部时基的连接 后面板 BNC 头, 50 欧姆阻抗 10 MHz 参考输出 : 1 Vp-p 到 50 欧姆 AC 耦合, 后面板 BNC 头 50 欧姆阻抗 相位偏置 : 0.1 度步进可调电子频率控制 (EFC) 输入 : 输入范围 5V 到 +5V;5 x 10 7, 输出 F Hz/V 灵敏度 ( 典型值 ); 调制带宽 250 Hz; 后面板 BNC 头, 高阻抗 相位锁定的步进扫描模式 扫描宽度 : 独立选择, 从 0.01 Hz 到全频段, 扫描范围内的每次频率步进都是相位锁定的精度 : 和内部或外部 10 MHz 时基信号相同分辨率 ( 最小步进尺度 ): 0.01 Hz 线性 / 对数扫描 : 用户可选的线性或对数扫描 在对数扫描模式, 频率刻度为对数, 对数步进步进 : 用户可选择步进数或步进尺度步进数量 : 从 1 ~ 10,000 可调 步进尺寸 : 0.01 Hz ~ 全频段 ( 如果所选的频段不能被步进尺度平均划分, 则最后一个步进会缩短 ) 单步驻留时间 : 从 1 ms ~ 99 秒固定速率扫描 : 允许用户设定扫描的全部时间, 包括锁定时间 从 20 ms 到 99 秒 模拟扫描模式 ( 选件 6) 扫描宽度 : 独立可选从 1 MHz 到仪表全频段. 选用选件 4 数字下变频器的时候, 模拟扫描只在 500 MHz 以上可用 有选件 22 的时候,10MHz 以下不可用 精度 : 小于 ± 30 MHz 或 (± 2 MHz % 扫描宽度 ) 扫描速度 50 MHz/ms( 典型值 ) 扫描时间范围 : 30 ms 到 99 秒 交替扫描模式 在任意两个扫描范围内进行步进扫描的交替扫描, 并且每个扫描个具有各自相应的功率 手动扫描模式 手工能够进行步进的, 相位锁定的频率变化 用户可以选择步进的尺寸和数目 列表扫描模式 通过前面板或通过 GPIB 程控方法设置 4 个列表扫描, 每个表可设置多达 2000 个频率点, 这些点可以是非连续的频率和不同的功率, 使用时可按相位锁定步进扫描调用 可以存储一个 2000 频率点的列表在非易失存储器里, 其他列表存储在易失存储器内 编程中灵活的频率列表 在 GPIB 控制下, 可以设置多达 3202 个频率点的列表扫描, 这些点可以是非连续的频率和不同功率, 使用时可按相位锁定步进扫描调用 列表存储在易失存储器内 标记 20 个可独立设置的标记 (F0 F9 和 M0 M9). 视频标记 : +5V 或 5V 标记输出, 通过系统菜单可选, 后面板 AUX I/O 接头 标记精度 : 和扫描精度一致标记分辨率 : 步进扫描 :0.01 Hz 模拟扫描 :1MHz 或扫描宽度 /4096 最大值为准 扫描触发 可为各种扫描方式提供扫描触发 ; 不仅频率扫描, 列表频率扫描和 CW 功率扫描 自动 : 自动触发扫描外部 : 通过外部 TTL 信号触发扫描, 后面板,AUX I/O 接头单次 : 触发 终止 复位一次扫描 复位扫描可以选择是扫描的开始或者结束

7 通用指标 存储设置 : 存储当前前面板设置和其他 9 个前面板设置在非易失的 RAM 中 可以通过系统菜单, 存储或调用这些仪器设置 仪器再次开机时, 仪器的设置, 包括控制设置和功能设置, 都和仪器上次关机前的状态相同 存储器的编序输入 : 接受一个 TTL 低电平来对存储的 10 个设置编序 后面板 AUX I/O 接头自检 : 自检软键按下后, 仪表进行自检 检测出错误时, 错误信息会显示在 LCD 的窗口里, 以便找出故障原因和解决方法. 安全模式 : 隐蔽所有的频率和功率状态 以保密方式存储的设置, 调用时依然是保密状态 可以通过系统菜单或 GPIB 选择此方式. 参数输入 : 仪器参数的设置有 3 种方法 : 数字键盘 数据转轮和可光标控制位置的 ^ 和 ٧ 键 数字键盘用来输入新的参数值, 数据转轮和光标可控键用来编辑参数值 转轮和 < > 键可移动光标的数字位的位置, 选择该位数, 编辑它 可修改的参数有 : 频率, 功率电平, 扫描时间, 驻留时间和不仅尺寸等等 通过按下相应的键或软键, 终止数据输入 推出编辑菜单, 终止编辑 复位 : 所有的仪器参数恢复到原始状态 任何暂停的 GPIB 操作被终止 复位可通过系统菜单选择 主 / 从操作 : 允许用户选择频率差, 同步输出各自信号 用一台仪器控制另一台仪器, 通过下列电缆连接 : AUX I/O 电缆, SERIAL I/O 电缆以及一根主 / 从接口电缆 (Part No. ND36329) 用户定义功率电平平坦度校准 : 通过输入来自校准数据或 GPIB 功率计的功率列表允许用户校准由于外部开关和电缆引起的外部路径损耗 当进行用户电平校准后, 输入的功率电平就会被传送到校准正在进行的点上 支持的功率计有 ML2437A ML2438A ML4803A 和 HP 437B 438A 和 70100A 可有 5 个用户表格, 每份表格多达 801 个点 预热时间 : 由待机状态预热 : 30 分钟冷启动 (0 摄氏度 ): 120 小时可达到标称的稳定度和漂移率 仪器断电后 72 小时, 需要 30 天才可以回到标称的频率稳定度和漂移率指标电源 : Vac, Hz, 最大 250 VA 待机 : 交流电连接后, 仪器处于待机状态 这时前面板的电源开关不是在 OPERATE 位置 重量 : <18 kg 尺寸 : 133 H x 429 W x 450 D mm 程控操作 所有的仪器功能, 设置以及操作模式 ( 处电源开 / 待机外 ) 都可以通过外部计算机经 GPIB(IEEE-488 接口总线 ) 发布命令, 进行控制 GPIB 地址 : 通过系统菜单选择 IEEE-488 接口功能集 : Source Handshake: SH1 Accep~r Handshake: AH1 Talker: T6 Listener: L4 Service Request: SR1 Remote/Local: RL1 Parallel Poll: PP1 Device Clear: DC1 Device Trigger: DT1 Controller Capability: C0 C1 C2 C3 C28 Tri-State Driver: E2 GPIB 状态指示灯 : 当仪器工作在程控工作方式时, 对应的下列前面板 GPIB 指示灯亮 程控 : 工作在 GPIB 状态, 除了系统键 SYSTEM 和返回本机键 RETURN ~LOCAL 外, 其他所有的前面板上的按键都会被锁定 LLO ( 本机锁定 ): 返回本机键 RETURN ~ LOCAL 不起作用 只能通过 GPIB 命令或重新开机回到本地状态 兼容性 : 本仪器可兼容安立公司型号为 和 6XX00 系列信号源 对其他信号源的兼容性有局限性, 只对某些命令 性能 参数等兼容 环境 (MIL-PRF-28800F,class 3) 存储温度范围 : 40 到 +75 摄氏度工作温度范围 : 0 到 +50 摄氏度相对湿度 : 5% 到 95% 在 40 摄氏度海拔 : 4,600 米 43.9 cm Hg EMI: 符合以下辐射和抗干扰要求标准 EN61326: 1998 EN55011:1991/CISPR-11:1990 Group 1 Class A EN : kv CD, 8 kv AD EN : V/m EN : kv SL, 1 kv PL EN : kv 2 kv L-E EN : 1996 EN : 1994 振动 : 随机,5-500Hz, g/Hz PSD 正弦波,5-55Hz,0.33mm 位易安全规范 :EN :1993+A1:92+A2:95

8 频率开关时间定义 自由运行模式 : ( 步进或列表 ) t sw = 开关时间, 未锁定部分锁定状态指示后面板 AUX I/O 连接器 (11 脚 ) ( 锁定状态时输出为高, 当输出最终频率在 1kHz 内时 ) t lt = 锁定时间 = 1ms + t dw t dw = 锁定后的驻留时间, 最小 1ms, 可调 t lt ( 最小 ) = 2 ms 单频率触发模式 : ( 列表或非顺序和 CFx 模式 ) 频谱纯度 所有指标条件为输出功率不超过 +10dBm 或指标规定的最大输出功率, 另有说明的除外 杂波信号 谐波和谐波相关的 : 频率范围 标称值 0.1 Hz ~ 10 MHz ( 选件 22) < 30 dbc 10 MHz ~ 100 MHz ( 选件 4) < 40 dbc >100 MHz ~ 2.2 GHz ( 选件 4) < 50 dbc 10 MHz ~ 50 MHz ( 选件 5) < 30 dbc >50 MHz ~ 2 GHz ( 选件 5) < 40 dbc >2 GHz (2.2 GHz w/ 选件 4) ~ 20 GHz < 60 dbc* >20 GHz ~ 40 GHz < 40 dbc*! >40 GHz ~ 50 GHz (MG3695A) < 40 dbc* >40 GHz ~ 65 GHz (MG3696A) < 25 dbc * -30dBc 典型值, 大功率选件 15!-20dBc 典型值, 20~21GHz 和 39~40GHz 非谐波 : 频率范围 标称值 0.1 Hz ~ 10 MHz ( 选件 22) < 30 dbc 10 MHz ~ 2.2GHz ( 选件 4) < 60 dbc 10 MHz ~ 2 GHz ( 选件 5) < 40 dbc >2 GHz (2.2 GHz w/ 选件 4) ~ 65 GHz < 60 dbc 电源和风扇旋转引起的杂波散射 (dbc): 频率范围 偏离载波 <300Hz 300 Hz ~ 1 khz >1 khz 10 ~ 500 MHz( 选件 4) < 68 < 72 < ~ 1050 MHz( 选件 4) < 62 < 72 < ~ 2200 MHz( 选件 4) < 56 < 66 < ~ 8.4 GHz < 50 < 60 < 60 开关时间 t sw T r = 触发响应时间 = 2 ms ( 适用于 GPIB 和外部 TTL 触发 ) t sw *(ms) 条件 5 ms + 1 ms/ghz < 30 dbc 7 ms + 1 ms/ghz ( 典型值 ) < 40 dbc 8 ms + 1 ms/ghz ( 典型值 ) < 50 dbc 频段开关点驻留频率 : 2(2.2 w/ 选件 4), 10, 20, 40 GHz 滤波器驻留频率 : 3.3, 5.5, 8.4, 13.25, 25, 32 GHz <2.2 GHz w/ 选件 4: 12.5, , , 22.5, 31.25, 43.75, 62.5, 87.5, 125, 175, 250, 350, 500, 700, 1050, 1500 MHz 8.4 ~ 20GHz < 46 < 56 < ~ 40GHz < 40 < 50 < ~ 65GHz < 34 < 44 < 48 驻留 FM (CW 和步进扫描模式,50 Hz - 15 khz BW): 频率范围 选件 3 驻留 FM(Hz RMS) 标准 8.4 GHz <40 < ~ 20GHz <40 < ~ 40GHz 40 ~ 65GHz <80 <440 <160 <880 驻留 FM ( 模拟扫描和非锁定 FM 模式,50 Hz - 15 khz BW): 驻留 FM(kHz RMS) 频率范围 非锁定窄带 FM 模式 非锁定宽带 FM 模 式或模拟扫描 ( 典 型字 ) 0.1 ~ 20GHz <5 <25 20 ~ 40GHz <10 <50 40 ~ 65GHz <20 <100 * 不适用于 FM 模式 AM 噪声底 : 典型值 < 145 dbm/hz (0 dbm 输出, 距载波偏移 >5 MHz)

9 单边带相位噪声 * 单边带相位噪声 (dbc/hz)( 典型值 ): 频率范围 偏离载波 100Hz 1 khz 10 khz 100 khz 0.1 Hz ~ 10 MHz ( 选件 22) 90(-110) 120(-125) 130(-139) 130(-141) 10 MHz ~ 500 MHz ( 选件 4) 94(-98) 106(-115) 104(-114) 120(-127) 500 MHz ~ 2.2 GHz ( 选件 4) 82(-90) 94(-102) 92(-100) 108(-117) 10 MHz ~ 2 GHz ( 选件 5) 77(-85) 88(-92) 85(-91) 100(-108) >2 GHz ~ 6 GHz 77(-79) 88(-92) 86(-90) 102(-112) >6 GHz ~ 10 GHz 73(-78) 86(-91) 83(-90) 102(-107) >10 GHz ~ 20 GHz 66(-72) 78(-84) 77(-83) 100(-104) >20 GHz ~ 40 GHz 60(-66) 75(-78) 72(-77) 94(-98) >40 GHz ~ 67 GHz 54(-60) 69(-72) 64(-71) 88(-92) 单边带相位噪声 (dbc/hz) ( 典型值 ) 选件 30: 偏离载波 频率范围 10Hz 100Hz 1 khz 10 khz 100 khz 1MHz 0.1 Hz ~ 10 MHz ( 选件 22) 60 ( 100) 90 ( 110) 120 ( 125) 130 ( 139) 130 ( 141) 130 ( 141) 10 MHz ~ 500 MHz ( 选件 4) 77 ( 82) 99 ( 100) 110 ( 118) 110 ( 117) 122 ( 129) 142 ( 146) 500 MHz ~ 2.2 GHz ( 选件 4) 64 ( 70) 86 ( 92) 98 ( 106) 98 ( 107) 110 ( 119) 135 ( 143) 10 MHz ~ 2 GHz ( 选件 5) 64 ( 68) 83 ( 86) 93 ( 100) 93 ( 100) 100 ( 108) 111 ( 115) >2 GHz ~ 6 GHz 54 ( 61) 77 ( 82) 93 ( 98) 93 ( 99) 102 ( 112) 130 ( 136) >6 GHz ~ 10 GHz 52 ( 60) 73 ( 78) 93 ( 96) 93 ( 99) 105 ( 112) 128 ( 136) >10 GHz ~ 20 GHz 45 ( 49) 68 ( 73) 86 ( 91) 86 ( 93) 100 ( 108) 125 ( 135) >20 GHz ~ 40 GHz 45 ( 49) 63 ( 67) 80 ( 85) 80 ( 87) 94 ( 102) 119 ( 129) >40 GHz ~ 67GHz 37 ( 41) 57 ( 61) 74 ( 79) 74 ( 81) 88 ( 96) 113 ( 123) 单边带相位噪声 (dbc/hz) 选件 3: 频率范围 偏离载波 10Hz 100Hz 1 khz 10 khz 100 khz 1MHz 0.1 Hz ~ <10 MHz ( 选件 22) 60 ( 100) 90 ( 110) 120 ( 125) 130 ( 139) 130 ( 141) 130 ( 141) 10 MHz ~ MHz ( 选件 4) 105 ( 118) 126 ( 133) 139 ( 145) 142 ( 148) 141 ( 148) 145 ( 149) > MHz ~ 31.25MHz ( 选件 4) 99 ( 114) 120 ( 127) 134 ( 144) 137 ( 147) 137 ( 147) 145 ( 150) >31.25 MHz ~ 62.5MHz ( 选件 4) 90 ( 111) 114 ( 121) 129 ( 143) 136 ( 146) 136 ( 146) 144 ( 152) >62.5 MHz ~ 125MHz ( 选件 4) 88 ( 98) 108 ( 116) 127 ( 130) 135 ( 143) 133 ( 143) 144 ( 148) >125 MHz ~ 250 MHz ( 选件 4) 84 ( 90) 102 ( 110) 125 ( 129) 132 ( 137) 130 ( 135) 143 ( 147) >250 MHz ~ 500 MHz ( 选件 4) 77 ( 83) 99 ( 103) 123 ( 128) 125 ( 131) 124 ( 129) 142 ( 146) >500 MHz ~ 1050 MHz ( 选件 4) 71 ( 77) 93 ( 100) 118 ( 122) 121 ( 126) 119 ( 124) 138 ( 144) >1050 MHz ~ 2200 MHz ( 选件 4) 66 ( 71) 86 ( 96) 112 ( 116) 115 ( 121) 113 ( 119) 135 ( 143) 10 MHz ~ <2 GHz ( 选件 5) 64 ( 78) 83 ( 88) 100 ( 106) 102 ( 110) 102 ( 108) 111 ( 115) 2 GHz ~ 6 GHz 54 ( 60) 77 ( 86) 104 ( 108) 108 ( 113) 107 ( 112) 130 ( 136) >6 GHz ~ 10 GHz 52 ( 57) 73 ( 81) 100 ( 105) 107 ( 114) 107 ( 113) 128 ( 136) >10 GHz ~ 20 GHz 45 ( 49) 68 ( 76) 94 ( 100) 102 ( 108) 102 ( 107) 125 ( 135) >20 GHz ~ 40 GHz 45 ( 49) 63 ( 70) 92 ( 94) 98 ( 102) 98 ( 101) 119 ( 129) >40 GHz ~ 67GHz 37 ( 40) 57 ( 69) 86 ( 88) 92 ( 96) 90 ( 95) 113 ( 123) * 相位噪声指标仅对内部参考时基 使用外部时基, 相位噪声由外部时基决定, 选择外部参考带宽, 可改善相位噪声性能 增加大功率选件, 相位噪声性能不变

10 arriermg3690b 在偏离 10GHz 载波时的单边带相位噪声 标准性能以及超低性能 ( 有选件 3) 的对比 RF 输出 功率电平指标在 25 ±10 C 适用 最大稳幅输出功率 ***: 型号 配置 频率范围 (GHz) 输出功率 (dbm) MG3691B 2 GHz ~ 10 GHz MG3692B 2** GHz ~ 10 GHz >10 GHz ~ 20 GHz MG3693B 2** GHz ~ 10 GHz >10 GHz ~ 20 GHz >20 GHz ~ 30 GHz +6.0 MG3694B 2** GHz ~ 10 GHz >10 GHz ~ 20 GHz >20 GHz ~ 40 GHz MG3695B 2** GHz ~ 20 GHz >20 GHz ~ 50 GHz MG3696B 2** GHz ~ 20 GHz >20 GHz ~ 60 GHz +3.0 * 2.2 GHz 有选件 4 **>2.2 GHz 有选件 4 ***0.1Hz~10MHz 有选件 22, 指标降低 2dB ****60~6GHz 为典型值 输出功率有步进衰减器 (dbm) **** 输出功率有电子式步进衰减器 (dbm) 无无无无无

11 最大稳幅输出功率选件 15 ( 大功率输出 ) ***: 型号 配置 频率范围 (GHz) 输出功率 (dbm) MG3691B 2** GHz ~ 10 GHz 没 2 GHz ~ 10 GHz MG3692B 2** GHz ~ 20 GHz 没 >10 GHz ~ 20 GHz ** GHz ~ 20 GHz MG3693B >20 GHz ~ 30 GHz 没 2** GHz ~ 20 GHz 没 >20 GHz ~ 30 GHz ** GHz ~ 20 GHz MG3694B >20 GHz ~ 40 GHz 没 2** GHz ~ 20 GHz 没 >20 GHz ~ 40 GHz ** GHz ~ 20 GHz >20 GHz ~ 40 GHz MG3695B >40 GHz ~ 50 GHz 没 2** GHz ~ 20 GHz 没 >20 GHz ~ 30 GHz 没 >40 GHz ~ 50 GHz ** GHz ~ 20 GHz >20 GHz ~ 40 GHz >40 GHz ~ 67 GHz +9.0 MG3696B >67 GHz ~ 70 GHz +3***** 没 2** GHz ~ 20 GHz 没 >20 GHz ~ 30 GHz 没 >40 GHz ~ 67 GHz +9.0 没 >67 GHz ~ 70 GHz +3***** * 2.2 GHz 有选件 4 **>2.2 GHz 有选件 4 ***0.1Hz~10MHz 有选件 22, 指标降低 2dB ****60~6GHz 为典型值 ***** 典型值 输出功率有步进衰减器 (dbm) **** 0***** **** 0***** 输出功率有电子式步进衰减器 (dbm) 无无无无无 最小稳幅功率输出无内置衰减器 : 5 dbm ( 10 dbm 典型值 ) 内置衰减器 : -105 dbm (MG3691B MG3692B MG3693B MG3694B) 95 dbm (MG3695B, MG3696B) ( 衰减器耦合 ) -140dBm( 衰减器去耦合 ) 内置电子式衰减器 : 115 dbm(mg3691b) 非稳幅输出功率范围 ( 典型值 ): 无内置衰减器 : 低于最大功率值 >40dB 内置衰减器 : 低于最大功率值 >130dB 功率电平开关时间 ( 在指标的精度范围内 ): 内置步进衰减器不变化时 :<3ms 典型值内置步进衰减器有变化时 :<20ms 典型值内置电子式步进衰减器有变化时 :<3ms 典型值 功率电平变化在经过 -70dB 步长时会导致 20ms 的延时 步进衰减器 ( 选件 2) 内置一个 10 db 步进衰减器, 对于低于 40 GHz 的型号可以有 110 db 的范围, 高于 40 GHz 的型号可以有 90 db 的范围 选件 2E 是增加一个电子衰减器, 可以有 120 db 的范围, 但只可用于 MG3691A

12 精度和平坦度 精度指标是在最坏情况下的指标 平坦度指标包括在精度指标内 步进扫描和 CW 模式 : 频率 (GHz) 从最大功率向下的衰减 精度 0-25dB 25 60dB dB 平坦度 0-25dB 25 60dB dB * 典型值 * ±1.5 db 选件 15 ±1.0 db ±1.0 db ±1.0 db ±0.8 db ±0.8 db ±0.8 db ±1.5 db ±1.5 db ±1.5 db ±1.1 db ±1.1 db ±2.1 db 模拟扫描模式 ( 典型值 ) ±1.5 db ±3.5 db* ±3.5 db* ±1.1 db ±3.1 db* ±3.1 db* ±1.5 db 无无 ±1.1 db 无无 频率 (GHz) 从最大功率向下的衰减 精度 0-12dB 12 30dB 30-60dB dB 平坦度 0-12dB 12 30dB 30-60dB dB ±2.0 db ±3.5 db ±4.0 db ±5.0 db ±2.0 db ±3.5 db ±4.0 db ±5.0 db ±2.0 db ±3.5 db ±4.0 db ±5.0 db ±2.0 db ±3.5 db ±4.0 db ±5.0 db ±2.0 db ±4.6 db ±5.2 db ±6.2 db ±2.0 db ±4.1 db ±4.6 db ±5.2 db ±3.0 db ±5.6 db ±6.2 db ±7.2 db ±2.5 db ±5.1 db ±5.6 db ±6.2 db 其他输出功率指标 输出单位 : 输出单位可选择为 dbm 或 mv 选择 mv 时假定为 50ohm 负载 所有输入和显示的数据选定的单位为其单位 输出功率分辨率 : 0.01 db 或 mv 源阻抗 : 50 Ω 标称值源 SWR ( 内稳幅时 ): <2.0 典型值功率电平随温度的稳定度 : 0.04 db/ 摄氏度典型值电平偏置 : 偏置显示功率值以建立一个新的参考输出 On/Off: 控制 RF 输出在开或关状态 处于关状态时,RF 振荡器关闭, 前面板的两个在 OUTPUT ON/OFF( 输出开 / 关键 ) 下面的 LED 可显示输出的开或关状态 频率步变时 RF 开 / 关 : 通过系统菜单选择在 CW 步进扫描或列表扫描等工作模式下, 当频率变化时,RF 在开还是关状态回扫时 RF 开 / 关 : 通过系统菜单选择回扫时, RF 在开还是关状态内稳幅 : 在所有工作模式下, 功率稳幅在输出连接器处 外稳幅 : 外部检波器稳幅 : 稳幅输出功率在检波器测试端口 仪器可接受检波器的信号, 为正或负极性 0.5 mv mv. EXT ALC ADJ 调整输入信号到最佳值 后面板 BNC 接头外部功率计稳幅 : 稳幅输出功率在检波器测试端口 仪器可接受功率计的信号, 满刻度为 ±1V EXT ALC ADJ 调整输入信号到最佳值 后面板 BNC 接头外稳幅带宽 : 典型值 30 khz 检波器方式 / 0.7 Hz 功率计方式用户功率平坦度校准 : 采样点数目 : 点 / 表表格数目 : 5 个输入模式 : GPIB 功率计或通过计算后的数据输入 CW 功率扫描 范围 : 单个连续波频率在两个功率电平之间进行扫描分辨率 : 0.01 db/ 步 ( 对数 ) 或 mv ( 线性 ) 精度 : 和 CW 功率精度相同. 对数 / 线性扫描 : 功率扫描方式可选择对数或线性扫描 对数扫描单位是 db; 线性扫描是 mv. 步进尺寸 : 用户定义, 0.01 db ( 对数 ) 或 mv ( 线性 ) 到仪器的整个范围 步进驻留时间 : 从 1ms 到 99s. 如果扫描范围通过步进衰减器, 衰减器变化一次, 扫描驻留时间接近 20ms 频率扫描 / 功率步进 功率电平步进一次, 频率扫描一次 在完成扫描前, 功率电平保持常数 内置功率计 ( 选件 8) 传感器 : 和安立 和 系列检波器 后面板输入 MG369B 典型的最大输出功率值 范围 :+16dBm ~ -35dBm 精度 : ±1 dbm, (+16 ~ -10 dbm) ±2 dbm, (-10 ~ -35 dbm) 分辨率 :0.1dBm 最小 MG3694B 输出功率的典型最大值 MG3695B 输出功率的典型最大值

13 调制 频率 / 相位调制 ( 选件 12) 选件 12 增加了频率和相位调制功能, 外部调制通过后面板 BNC 接头 50 欧姆接入 对于内部调制, 需增加内部 LF 发生器选件 27 <10 MHz( 选件 22) 不能进行频率和相位调制 精确测量频率调制和相位调制, 必须使用贝塞尔零点法 请使用此方法来验证频率调制和相位调制指标 使用调制表测量法, 必须减去驻留调频造成的影响 频率发生器倍频 / 分频比率 : 频率范围 分频比率 n <10 MHz ( 选件 22) 调制功能不可用 10 MHz ~ MHz ( 选件 4) 256 > MHz ~ 31.25MHz ( 选件 4) 128 >31.25 MHz ~ 62.5MHz ( 选件 4) 64 >62.5 MHz ~ 125MHz ( 选件 4) 32 >125 MHz ~ 250MHz ( 选件 4) 16 >250 MHz ~ 500MHz ( 选件 4) 8 >500 MHz ~ 1050MHz ( 选件 4) 4 >1050 MHz ~ 2200MHz ( 选件 4) 2 >10 MHz ~ 2.2 GHz ( 选件 5) 1 >2 GHz ~ 20 GHz 1 >20 GHz ~ 40 GHz 1/2 >40 GHz ~ 67GHz 1/4 频率调制 onditions Specifications 参数 偏移 带宽 3dB 模式 条件 指标 条件 指标 所有频率不小于 2.2GHz 选件 4 所有频率小于 2.2GHz 选件 4 锁相 比率 =1 khz 到 8MHz ±[ 小于 10MHz 或 300 X 调制速率 ]/n 比率 =1 khz 到 ( 小于 8MHz 或 ±[ 小于 10MHz 或 300 X 调制速率 ]/n 低噪声锁相 比率 =50 khz 到 8MHz ±[ 小于 10MHz 或 3 X 调制速率 ]/n 比率 =50 khz 到 ( 小于 8MHz 或 ±[ 小于 10MHz 或 3 X 调制速率 ]/n 非锁相窄带 比率 = 直流到 8MHz ±10MHz/n 比率 = 直流到 ( 小于 8MHz 或 ±10MHz/n 非锁相宽带 比率 = 直流到 100Hz ±100MHz/n 比率 = 直流到 100Hz ±100MHz/n 锁相 1 khz 到 10MHz 1 khz 到 ( 小于 10MHz 或 0.03 X 载波频率 ) 低噪声锁相 30 khz 到 10MHz 30 khz 到 ( 小于 10MHz 或 0.03 X 载波频率 ) 非锁相窄带 直流到 10MHz 直流到小于 (10MHz 或 0.03 X 载波频率 ) 非锁相宽带 直流到 100Hz 直流到 100Hz 平坦度 锁相 比率 =10 khz 到 1MH ±1 db 相对于 100 khz 比率 =10 khz 到 ( 小于 1MHz 或 ±1 db 相对于 100 khz 精度 锁相和低噪声锁相 比率 = 100 khz 内部或 10% (5% 典型值 ) 比率 = 100 khz 内部或 10% (5% 典型值 ) 非锁相窄带 外部 1Vpk 正弦波 外部 1Vpk 正弦波 附带 AM 锁相和低噪声锁相比率 =1MHz, 偏移 =±1MHz 典型值 2% 比率和偏移 = 小于 1MHz 或 (0.01 典型值 2% 非锁相窄带 X 载波频率 ) 谐波失真 锁相 比率 =10MHz 偏移 =±1MHz <1% 比率 =10KHz 偏移 =±(1 MHz)/n <1% 外部灵敏度 锁相低噪声锁相 最大输入 :±1V ±(10 khz/v ~ 20 MHz/V)/n 最大输入 :±1V ±(10 khz/v ~ 20 MHz/V)/n 非锁相窄带 非锁相宽带 ±(100 khz/v ~ 100 MHz/V)/n ±(100 khz/v ~ 100 MHz/V)/n 相位调制 参数 模式 条件 指标 条件 指标 偏移 窄带 比率 = 直流到 8MHz ±[ 小于 3 rad 或 5MHz / 调制速率 ]/n 比率 = 直流到小于 (8MHz 或 0.03 X 载波频率 ) ±[ 小于 3 rad 或 5MHz / 调制速率 ]/n 宽带 比率 = 直流到 1MHz ±[ 小于 400 rad 或 10MHz / 调制速率 ]/n 比率 = 直流到小于 (8MHz 或 0.03 X 载波频率 ) ±[ 小于 400 rad 或 10MHz / 调制速率 ]/n 带宽 (3dB) 窄带 直流到 10MHz 直流到 ( 小于 10MHz 或 0.03 X 载波频率 ) 宽带 直流到 1MHz 直流到 ( 小于 1MHz 或 0.03 X 载波频率 ) 平坦度 窄带 比率 = 直流到 1MHz ±1 db 相对于 100 khz 比率 = 直流到 ( 小于 1MHz 或 0.01 X 载波频率 ) ±1 db 相对于 100 khz 宽带 比率 = 直流到 500 khz ±1 db 相对于 100 khz 比率 = 直流到 ( 小于 500KHz 或 0.01 X 载波频率 ) ±1 db 相对于 100 khz 精度 窄带和宽带 100 khz 内部或 10% (5% 典型值 ) 100 khz 内部或 10% (5% 典型值 ) 窄带 ±( rad/v ~ 5 rad/v)/n ±( rad/v ~ 5 rad/v)/n 外部灵敏度 宽带 最大输入 :±1V ±(0.25 rad/v ~ 500 rad/v)/n 最大输入 :±1V ±(0.25 rad/v ~ 500 rad/v)/n

14 幅度调制 ( 选件 14) 选件 14 增加了幅度调制功能, 外部调制通过后面板 BNC 接头 50 欧姆接入 对于内部调制, 需增加内部 LF 发生器选件 27 除非特别声明, 所有的幅度调制参数是在 50% 调制度 1KHz 比率 RF 电平在最高标称稳幅输出功率 6dB 以下测得 幅度调制在 10MHz ( 有选件 22) 以下不可用 AM 调制度 ( 典型值 ): 0 ~ 90% 线性 ;20 db 对数 AM 带宽 (3 db): DC ~ 50 khz 最小 ;DC ~ 100 khz 典型值平坦度 (DC ~ 10 khz 比率 ): ±0.3 db 精度 : ±5% 失真 : <5% 典型值附带相位调制 (30% 调制度, 10 khz 比率 ): <0.2 弧度典型值外部 AM 输入 : 对数 AM 或线性 AM 输入, 后面板 BNC,50 Ω 输入阻抗 对于内调制, 需增加 LF 发生器选件 27 灵敏度 : 对数 AM: 连续可变从 0 db/ 伏到 25 db/ 伏. 线性 AM: 连续可变从 0% / 伏到 100%/ 伏最大输入 : ±1Vpk 脉冲调制 ( 选件 26) 选件 26 增加了脉冲调制功能, 外部调制通过后面板 BNC 接头 TTL 接入 对于内部调制, 需增加内部脉冲发生器选件 27 脉冲调制的指标适于最大输出功率, 另有说明的除外 10MHz( 选件 22) 以下不可用 通 / 断比 : >80 db 最小稳幅脉宽 : 100 ns 1 GHz;1us <1 GHz 最小不稳幅脉宽 : <10 ns 相对于 CW 的稳幅精度 (100 Hz ~ 1 MHz PRF): ±0.5 db 1 µs 脉宽 ±1.0 db <1 µs 脉宽脉冲延时 ( 典型值 ): 外部模式 : 50 ns PRF 范围 : DC ~ 10 MHz 未稳幅 100 Hz ~ 5 MHz 稳幅 频率范围 10 ~<31.25 MHz ( 选件 4) ~ 125 MHz ( 选件 4) 125 ~ <500 MHz ( 选件 4) 125 ~ <2200 MHz ( 选件 4) 10 ~ <1000 MHz ( 选件 5) 1 ~ <2 GHz ( 选件 5) 上升和下降时间 (10% - 90%) 过冲 脉冲宽 度压缩 视频馈通 400 ns* 33%* 40 ns* ±70 mv* 90 ns* 22%* 12 ns* ±130 mv* 33 ns* 11%* 12 ns* ±70 mv* 15 ns 10%* 12 ns* ±15 mv* 15 ns,10 ns* 10%* 8 ns* ±15 mv* 10 ns,5 ns* 10%* 8 ns* ±15 mv* 2 ~ <65 GHz 10 ns,5 ns* 10%* 8 ns* ±10 mv* 外部输入 : 后面板 BNC 对于内部调制, 增加脉冲发生器选件 27 驱动电平 : TTL 兼容输入输入逻辑 : 正真值或负真值, 从调制菜单中选择. 内部 LF 发生器和脉冲发生器 ( 选件 27) 加入一个脉冲信号发生器和 2 个内部波形发生器, 其中一个提供频率或相位调制信号, 另外一个则提供幅度调制信号 此脉冲和低频 (LF) 发生器选件只能与 FM/ФM AM 或脉冲选件配合使用, 即相应的选件 和 26. 波形 : 正弦波 方波 三角波 正向锯齿 反向锯齿 高斯噪声 白噪声 自编制任意波形 ( 有关信息可查阅选件 10 用户自定义任意波形发生 ) 比率 : 分辨率 : 0.1 Hz 0.1 Hz 到 1 MHz 正弦波 0.1 Hz ~ 100 khz 方波 三角波 锯齿波 精度 : 和仪表的时基精度相同 ±0.014Hz 波形输出 : 后面板两个 BNC 接头, FM/Ф M 输出和 AM 输出脉冲模式 : 单脉冲 双脉冲 三脉冲, 四脉冲脉冲触发 : 自由运行 触发 门 延时 带延时触发 扫描延迟脉冲输入 / 输出 : 视频脉冲和同步脉冲输出, 后面板 BNC 接头 脉冲参数 可选时钟速率 40 MHz 10 MHz 脉冲宽度 30 ns ~ 160 ms 100 ns ~ 1.6s 脉冲周期 100 ns ~ 160 ms 600 ns ~ 1.6s 可变延时 单脉冲 双脉冲 三脉冲 0 ~ 160 ms 100 ns ~ 160 ms 100 ns ~ 160 ms 100 ns ~ 160 ms 0 ~ 1.6s 300 ns ~ 1.6s 300 ns ~ 1.6s 300 ns ~ 1.6s 四脉冲 分辨率 10 ns 100 ns 精度 10 ns (5 ns 典型值 ) 10 ns (5 ns 典型值 ) 1 对 50 和 67GHz 的型号,>40 GHz 的过冲典型值为额定功率的 20%. 2 周期要大于延时和最少 5 个时钟周期的总和 3 大功率选件 15, 上升时间和脉冲宽度压缩 >20GHz, 指标下降 2ns * 典型值

15 IF 上变频 ( 选件 7) 选件 7 增加一个内置混频器, 用来进行 IF 信号的上变频 混频器的 RF LO 和 IF 端口都设置在 MG3690A 的后面板上, 是 3 个 K 阴性接头 典型的应用是把 MG3690A 的微波输出 ( 此输出连接器, 选用选件 9K 可以移到后面板上 ) 连接到混频器的 LO 端口 一个外部 LF 信号连接到混频器的 IF 端口 新的上变频信号就可以从混频器的 RF 端口输出 混频器类型 双平衡 RF LO 范围 1 ~ 40 GHz IF 范围 DC ~ 700 MHz 转换损耗 10 db 典型值 各端口可输入的最大功率 30 dbm 典型值 隔离度, RF ~ LO 23 db LO 驱动电平 +10 ~ +13 dbm 输入 P1dB +3 dbm 典型值 IF 上变频选件特别适用于发生一个微波 IQ 调制信号 低频 的 IQ 调制信号源, 如安立公司的 MG3700A 配合 MG3694A 使用, 可以上变频产生一个高达 40GHz 的 IQ 调制信号 扫描调制 ( 选件 20) 选件 20 增加了微波线性控制衰减器, 用来提供深度 AM 调制能力 调制器位于稳幅环路之前, 但在输出步进衰减器之后 实现 RF 通路的开关 扫描调制须采用外驱动 此选件的应用是存储天线图波形到内存, 使用外部输入到扫描调制器 频率范围 2~18 GHz 衰减范围 0~60 db 平坦度 / 精度 ±1.5 db/±1.5 db, 0~40 db ±3 db/±2 db, 40~60 db 步进响应 < 1us 灵敏度 -10 db/v 调制带宽 20 khz( 小信号 ) 5 khz( 大信号 ) 插入损耗 <6 db 输入 后面板 BNC 连接器, 高阻 用户自定义调制波形软件 ( 选件 10) 一个外部软件包允许将用户自定义的波形下载到内部的 LF 发生器 ( 选件 27) 的存储器内 MG3690A 在 LF 发生器的有正弦波, 方波, 三角波, 正向锯齿, 反向锯齿, 高斯噪声, 等噪声等波形 两个有 个点的列表用来产生 2 个随机波形, 一个用于幅度调制, 另一个用于频率和相位调制 下载的文件是简单的节省空间的文本文件, 含有从 0 到 4095 的整数, 其中 :0 对应最小调制电平, 而 4095 就对应最大值 该软件还能够控制 MG3690B 可用的所有的调制模式 : FM/φM,AM, 还有脉冲调制 即用户自定义软件, 可以提供如下的功能 : 把用户在仪器面板上进行的各种调制复合在一起, 形成复合调制 ( 这里复合调制内的各种调制, 都在内调制技术指标范围内 ), 并将仪表的设置保存起来 IF 上变频应用和设置

16 毫米波频率覆盖 毫米波倍频器 系列 ( 选件 18 推荐使用的 DC 偏压 ) 系列外部波导倍频器, 多波段实现覆盖到 325 GHz 这些外部倍频器需要一台至少 20GHz 覆盖 MG3692B 输出功率驱动至少 10dBm 可以使用外部电源,+12VDC,1.5A 典型值 推荐使用选件 18, 增加直流偏压, 无需外部电源 注意选件 18 不可以和选件 7 或 15 共用 系列倍频器, 除了具有固有的平坦度外, 还具有饱和 非稳幅的功率输出 调制的输入可以调制输出, 由于输出饱和调幅除外 频率和相位调制可以使用, 但其偏移也会成倍增加倍数因子 脉冲调制可用, 但比输入有更尖锐的上升和下降时间 所有调制均无指标 MG3690B 的 系列毫米波倍频器 为了方便操作, 可在 MG3690B 的前面板, 输入倍频因子, 即倍频器的倍频因子 这样可使显示为正确的频率输出 倍频器 p/n 频率 GHz GHz GHz GHz GHz GHz GHz 波导输出 WR-15 WR-12 WR-10 WR-08 WR-06 WR-05 WR-03 2 法兰盘 (008) (009) (010) (M08) (M06) (M05) (M03) 输出功率 ( 典型值 ) +8 dbm +6 dbm +5 dbm 5 dbm 13 dbm 15 dbm 3 25 dbm 4 输出平坦度 ( 典型值 )( 非稳幅 ) ±2 db ±2 db ±3 db 输出匹配 >12 db >12 db >12 db >12 db >12 db >12 db 6 db (typical) 倍频因子 (m) x4 x6 x6 x8 x12 x12 x18 输入频率 GHz GHz GHz GHz GHz GHz GHz 频率精度 (LO 合成精度 x m) 频率分辨率 (LO 分辨率 x m) 谐波和杂散 15 dbc ( 典型值 ) 需要的输入功率 +10 dbm RF 输入连接器 SMA ( 阴 ) DC 电源 12 Vdc, 1.5A ( 电源需要 2 条香蕉线 ), 推荐使用选件 18, 以提供必要的偏压 尺寸 120 mm x 110 mm x 70 mm ( 不包括接口和脚 ) 重量 温度 <1 kg +20 C C 1 mmw 模块由具有多年经验的 OML Inc. (Oleson Microwave Labs), co-located in Morgan Hill, Ca, 生产, 详情请向 OML Inc. 查询, 网址 2 波导输出法兰盘符合 MIL.F-3922/67B-(xxx) 3 功率在 200 GHz 下降到 -15dBm, 220 GHz 下降到 -25dBm 4 输出功率为估计值

17 输入和输出 名称 输入 / 输出连接器类型 位置 外部 ALC 输入 BNC 后面板 RF 输出 *( 选件 9) K 接头阴最高频率 40GHz - 前面版 V 接头阴最高频率 >40GHz 选件 9 - 后面板 10 MHz 参考输入 BNC 后面板 10 MHz 参考输出 BNC 后面板 水平输出 BNC 后面板 EFC 输入 BNC 后面板 AUX I/O 25 针 D 型 后面板 SERIAL I/O RJ45 后面板 IEEE-488 GPIB 57 型 后面板 毫米波偏压 * ( 选件 18) Twinax 后面板 RF LO IF* ( 选件 7) K( 阴 ) x3 后面板 脉冲触发输入 ( 选件 26) BNC 后面板 脉冲同步输出 ( 选件 27) BNC 后面板 脉冲视频输出 ( 选件 27) BNC 后面板 AM 输入 ( 选件 14) BNC 后面板 FM/ΦM 输入 ( 选件 12) BNC 后面板 AM 输出 ( 选件 27) BNC 后面板 FM/Φ M 输出 ( 选件 27) BNC 后面板 扫描调制输入 *( 选件 20) BNC 后面板 功率计输入 *( 选件 8) BNC 后面板 * 选件 7 和选件 18 选件 7 和选件 20 选件 8 和选件 9, 由于占用同一后面板的位置, 因此不可共用, ** 后面板连接器可能存在, 但功能是否存在, 根据订购的相应选件而决定 MG3690B 后面板

18 EXT ALC IN / 外部 ALC 输入通过外部功率计或检波器, 实现 RF 信号稳幅 ; 对信号的要求见前 RF 输出指标部分 RF OUTPUT / 射频输出输出 50 欧姆源阻抗的 RF 信号,K 接头, 阴, 选件 9 将 RF 输出接头移至后面板 : 10 MHz REF IN / 参考输入接受外部的 10 MHz ±100 Hz 0 ~+20 dbm 的时基信号 如果安装了内部高稳时基, 参考信号接入时, 则自动断开内部高稳时基 阻抗 50 欧姆 HORIZ OUT (Horizontal Sweep Output) / 水平扫描输出 0V 到 +10V 输出, 无论扫描宽度多少, 均表示从起始频率到终止频率 在 CW 方式, 电压正比于频率, 表示在频率范围内, 由 0V 到 +10V,; 但若使能 CW 斜波扫描, 则重复输出 0V 到 +10V 的斜波 EFC IN / 输入允许用频率调制内部晶体振荡器, 从而将合成器的相位锁相在外部锁相环 参数指标见前 AUX I/O / 辅助输入 / 输出多数后面板的 BNC 接头的输出信号, 都可以通过一个 25 针 D 型的接头输出 和其他信号源使用时, 支持主 - 从工作方式 ; 也可以和 56100A 标量网络分析仪或其他安立公司仪表配合使用 SERIAL I/O / 串行输入 / 输出 RS-232 串口支持主从工作, 并能支持维护和校准功能 IEEE-488 GPIB 连接通用接口总线的输入输出 MmW BIAS / 毫米波偏压给外置波导倍频器提供偏压以覆盖到 325 GHz, 选件 18 RF LO IF 用来连接内部 IF 上变频混频器, 选件 7 PULSE TRIG IN / 脉冲触发输入接受外部 TTL 兼容信号, 以便对射频输出信号进行脉冲调制, 对选件内部脉冲发生器进行触发或门选 在有选件 26 脉冲调制时可用 PULSE SYNC OUT / 脉冲同步输出提供一个 TTL 兼容信号, 对内部脉冲调制输出进行同步 选件 27 PULSE VIDEO OUT / 脉冲视频输出从内部脉冲发生器提供一个视频调制信号 选件 27 AM IN / AM 输入 : 接受一个外部信号对射频输出信号进行幅度调制, 选件 14, 50 欧姆阻抗 FM/Φ M INPUT / FM/Φ M 输入接受一个外部信号对射频输出信号进行频率或相位调制, 选件 12,50 欧姆阻抗 AM OUT / AM 输出有内部 LF 发生器选件 27 时, 提供一个幅度调制波形 FM/Φ M OUT / FM/Φ M 输出有内部 LF 发生器选件 27 时, 提供一个频率或相位调制波形 SCAN MOD IN / 扫描调制输入接受一个外部信号对射频输出信号进行扫描调制, 选件 20, 高阻抗 POWER MONITOR IN / 功率计输入接受一个外部检波器用于功率检测 选件 8 Input/Output Connec~rs AUX I/O 脚注 : 1. 水平输出 2. 机壳地 3. 顺序同步输出 4. 交替低有效输出 5. 标记输出 6. 回扫消隐输出 7. 扫描低有效输出 8. 机壳地 扫描驻留输出 11. 锁相状态输出 12. 抬臂 13. 外部触发输出 14.V/GHz 输出 15. 扫描结束输入 16. 扫描结束输出 扫描驻留输入 频段开关点消隐输出 21. 主机复位 22. 水平扫描输入 23. 水平扫描输入返回 24. 机壳地 25. 内存顺序输入 25 针 D 型连接器

19 定购信息 型号 MG3691B MG3692B MG3693B MG3694B MG3695B MG3696B 选件 2 10 GHz 信号发生器 2 20 GHz 信号发生器 2 30 GHz 信号发生器 2 40 GHz 信号发生器 2 50 GHz 信号发生器 2 65 GHz 信号发生器 MG3690B/1A 机柜安装件带导轨 机柜安装件包括一套导轨 ( 可倾斜 90 度 ), 安装架和前面把手 可使仪器安装在 19 英寸的机柜上 MG3690B/1B 机柜安装件不带导轨 对机柜安装件进行了改动, 以便仪表可以安装在有安装槽的控制台上 包括安装架和前面把手 MG3690B/2X 机械步进衰减器 增加一个步进率 10 db 的衰减器 标称功率指标会有所下降 代号 X 根据仪表的不同配制而不同 A - MG3691B 和 MG3692B,B MG3693B 和 MG3694B,C MG3695B 和 MG3696B MG3690B/2E 电子步进衰减器 增加一个步进率 10 db 的电子衰减器, 对 3691B 有 120dB 的范围 额定射频输出功率将下降 不能和选件 20 或 22 共存 MG3690B/3 MG3690B/4 MG3690B/5 MG3690B/6 MG3690B/7 MG3690B/8 超低相位噪声 主带 增加新的选件有效降低单边带相位噪声 10 MHz 到 2.2 GHz 射频覆盖 超低相噪 采用新的数字下变频器有效降低单边带相位噪声 10 MHz 到 2 GHz 射频覆盖 采用模拟下变频器 模拟扫描能力 ( 当和选件 4 配合使用时, 限于 500 MHz) IF 上变频 增加一个内置的 40 GHz 混频器对内部的 IF 信号进行上变频 ( 在型号 MG3695B MG3696B 中不可用, 或者在有选件 18 或 20 的情况下也不可用 ) 功率计 增加内置功率测量能力, 不能和选件 9 共存 MG3690B/9X 后面板输出 把射频输出接头移到后面板 不能和选件 8 共存 代号 X 根据仪表的不同配制而不同 K - MG3691B MG3692B MG3693B 和 MG3694B,V - MG3691B 和 MG3692B MG3690B/10 MG3690B/12 MG3690B/14 用户自定义产生任意调制波形软件 外置软件包, 通过串口或 GPIB 把自定义波形下载到内部波形发生器的存储器内 需要 PC 并且仪表配有选件 27 该软件只能和用具有选件 10 的信号源使用 频率和相位调制 - 外部调制通过后面板的一个 BNC 接头引入 内部调制需要增加选件 27 幅度调制 - 外部调制通过后面板的一个 BNC 接头引入 内部调制需要增加选件 27 MG3690B/15X 大功率输出 ; 大功率射频选件可以提高仪器的输出功率电平 代号 X 根据仪表的不同配制而不同 A - MG3691B 和 MG3692B,B MG3693B 和 MG3694B,C MG3695B,D - MG3696B MG3690B/16 MG3690B/17 MG3690B/18 MG3690B/20 MG3690B/22 高稳定度时基 内带恒温的 10 MHz 晶体振荡器作为一个高稳定度时基 删除前面板 删除前面板的显示和键盘, 适用于程控应用 毫米波偏压输出 后面板增加一个 BNC Twinax 接头, 用于 系列毫米波模块的偏压 不可与选件 7 或 15x 同时使用 扫描幅度调制 - 增加内置扫描调制器, 仿真深度幅度调制信号 需要外部调制信号输入能力 仅对 MG3691B MG3692B, 不可与选件 2E 7 15x 或 22 同时使用 0.1Hz 到 10 MHz 音频覆盖使用 DDS 技术使频率几乎覆盖到直流 增加选件 22, 输出功率会降低 2dB 频率分辨率在 10 MHz 以下是 0.02 Hz 在频段 0.1 Hz 到 10 MHz 调制方式不可用 ( 必须和选件 4 或选件 5 配合使用, 不可与选件 2E 或 20 同时使用 ) MG3690B/23 LF 发生器 提供内部 AM FM 或 ΦM 的调制波形 ( 必须和选件 12 或选件 14 配合使用 ) MG3690B/26x* 脉冲调制 - 外部调制通过后面板的一个 BNC 接头引入 内调制还需要增加选件 27 脉冲发生器 代号 X 根据仪表的不同配制而不同 A - MG3691B MG3692B 和 MG3693B ; B - MG3694B MG3695B 和 MG3696B MG3690B/27 内部 LF 信号发生器和脉冲发生器 提供内部 AM FM 或 ΦM 和脉冲调制所需的调制波形 ( 必须和选件 12,14 或 26 配合使用 ) MG3690B/28X 整套模拟调制包 为了方便您订货, 这个选件包含了选件 和 27, 能够提供内部和外部 AM FM Ø M 和脉冲调制等调制方式 代号 X 根据仪表的不同配制而不同 A - MG3691B MG3692B 和 MG3693B ; B - MG3694B MG3695B 和 MG3696B MG3690B/30 SM4743 低相位噪声 N(f) 输出连接器,MG3691B 和 MG3692B SM6202 仅用于 MG3694B 选件 26 加选件 27 >31.8 GHz, 无 <100ns 脉冲性能和外脉冲及触发 * >31.8 GHz 脉冲调制性能受控于美国出口管制条例.

20 定购信息 ( 接上页 ) 毫米波附件 ( 推荐选择选件 18 内置直流偏压 ) 附件 ~ 75 GHz,V 波段倍频器,WR ~ 90 GHz,E 波段倍频器,WR ~ 110 GHz,W 波段倍频器,WR ~ 140 GHz,E 波段倍频器,WR ~ 140 GHz,E 波段倍频器,WR ~ 140 GHz,E 波段倍频器,WR ~ 140 GHz,E 波段倍频器,WR 柔性电缆 SMA(m) SMA(m) 90 cm 长, 连接信号源的射频输出到倍频器的本振输入 34RKNF50 ND A 运输箱 DC 到 20 GHz 专用于 K 接头 RF 输出口带外螺纹的 K 阳 -N 阴转接器 主 / 从接口电缆一套 IVI 驱动程序, 包括 LabView 驱动 Aux I/O 电缆 25 针到 BNC: 提供 BNC 到 V/GHz\ 以及相应的同步连接和其他辅助输入 / 输出数据线 升级 任何型号都可以经济地升级到更高性能的型号 详情请洽安立公司各地代表处

21 安立有限公司 ANRITSU COMPANY LTD 香港九龙尖沙嘴东麽地道 77 号华懋广场 9 字楼 923 室 TEL: FAX: 安立有限公司北京代表处北京市朝阳区东三环北路 5 号北京发展大厦 1515 室 TEL: FAX: 安立有限公司西安代表处西安市高新区高新一路志诚大厦 1515 室 TEL: /9 FAX: 安立有限公司武汉代表处武汉武昌区中南路 9 号中商广场写字楼 A1803 室 TEL: FAX: 安立有限公司沈阳代表处沈阳市和平区南京北街 206 号沈阳城市广场 室 TEL: /89 FAX: 安立有限公司上海代表处上海市遵义路城市中心 A TEL: FAX: 安立有限公司广州代表处广州市先烈中路 68 号东山广场 室 TEL: /2 FAX: 安立有限公司成都代表处成都市新华街文武路 42 号新时代广场 26 层 E 座 TEL: /22/33 FAX: 安立有限公司重庆代表处重庆市渝中区青年路 77 号重庆万豪酒店国贸中心 3 楼 U-9 单元 TEL: FAX: 安立有限公司深圳代表处深圳市福虹路世贸广场 A 座 1505 室 TEL: /2851/2852 FAX: 维修中心 : 安立电子 ( 上海 ) 有限公司上海市浦东外高桥保税区富特北路 516 号 52 厂房第二层 B 部位 TEL: /7/8 FAX: 日本安立株式会社 ANRITSU CORPORATION 东京都港区南麻布五丁目 10 番 27 号 TEL: FAX: 制造商 ( 产地 ): 安立公司 ( 美国 ) 490 Jarvis Drive, Morgan Hill, CA , USA

MG3690C 系列信号 读出十分方便 功能键 方便的参数输入和编辑 外观更小巧 13.3 cm 高 1/4 的 VGA LCD 背景直接显示仪表状态和测量设置菜单 把仪器的功能整合在简单的操作里, 如 : 配置 GPIB 接口和输入 / 输出接口 ; 保密工作模式 ; 自检 ; 保存和调用多达 1

MG3690C 系列信号 读出十分方便 功能键 方便的参数输入和编辑 外观更小巧 13.3 cm 高 1/4 的 VGA LCD 背景直接显示仪表状态和测量设置菜单 把仪器的功能整合在简单的操作里, 如 : 配置 GPIB 接口和输入 / 输出接口 ; 保密工作模式 ; 自检 ; 保存和调用多达 1 技术指标 MG3690C 射频 / 微波信号发生器 0.1Hz~70GHz/500GHz 简介 MG3690C 系列是 理想的微波信号发生器 它提供了无与伦比的频率范围, 最低的相位噪声, 平坦的输出功率 干净的频谱纯度, 开关速度快 调制性能好 尺寸 升级性 可靠性 服务性等优点 我们的信号发生器可配置为广泛地应用到研发, 生产和维修等领域 安立公司为你提供整体解决方案, 包括已证实的可靠性和标准

More information

技术指标 技术指标适用于以下条件 : 仪器处于校准周期内, 在 0 至 50 温度环境下存放至少两小时, 并且预热 40 分钟 对于本手册中的数据, 若无另行说明, 均为包含测量不确定度的技术指标 典型值 : 表示在室温 ( 约 25 ) 条件下,80% 的测试结果均可达到的典型性能 该数据并非保证

技术指标 技术指标适用于以下条件 : 仪器处于校准周期内, 在 0 至 50 温度环境下存放至少两小时, 并且预热 40 分钟 对于本手册中的数据, 若无另行说明, 均为包含测量不确定度的技术指标 典型值 : 表示在室温 ( 约 25 ) 条件下,80% 的测试结果均可达到的典型性能 该数据并非保证 DSG800 系列射频信号源 高性价比经济型射频信号源相位噪声典型值高达 -105 dbc/hz 最大输出功率典型值可达 +20 dbm 高级别的幅度精度, 典型值可达 0.5 db 优异的信号稳定度 设计保证了其可靠耐用性使用无磨损电子式衰减器特别设计的保护功能数字 ALC 电路结构简单 功能堪比高级别射频信号源灵活的频率和幅度扫描功能完备的 AM/FM/ØM 模拟调制功能标配 LF 输出功能强大的脉冲调制功能系统平坦度校正功能操作便捷易用

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器 44434/5 图 1 R&S SMA100A 拥 有 出 色 的 性 能 紧 凑 的 设 计 和 优 惠 的 价 格 R&S SMA100A 几 乎 可 满 足 任 何 测 试 需 求 的 模 拟 信 号 质 量 速 度 灵 活 性 这 些 都 是 当 今 衡 量 的 标 准 R&S SMA100A 的 设 计 完 美, 可 充 分 满 足 这 些 标 准, 因 此 它 是 一 款 质 量 优 异

More information

SIGLENT

SIGLENT SDG1000 系列函数 / 任意波形发生器 数据手册 采用先进的 DDS 技术, 双通道输出, 125MSa/s 采样率,14bit 垂直分辨率 输出 5 种标准波形, 内置 46 种任意波形 ( 包括 DC) 丰富的调制功能 :AM DSB-AM FM PM FSK ASK PWM 以及输出线性 / 对数扫描和脉冲串波形 丰富的输入输出 : 波形输出, 同步信号输出, 外接调制源, 外接基准 10MHz

More information

CHCN.p65

CHCN.p65 Agilent 33210A 10 MHz 函数 / 任意发生器 技术资料 10 MHz 正弦波和方波 脉冲, 斜波, 三角波, 噪声和直流 可选 14-bit,50 MSa/s,8 K 点任意 AM,FM,PWM 调制类型线性和对数扫描及突波工作模式幅度范围为 10 mvpp 至 10 Vpp 图形化显示界面易于信号设置和验证 USB GPIB 和 LAN 接口完全符合 LXI C 类规范 价格合理,

More information

Microsoft Word - SDG1000数据手册V1.3版本_排版_.doc

Microsoft Word - SDG1000数据手册V1.3版本_排版_.doc SDG1000 系列函数/任意波形发生器数据手册 SDG1000 系列函数/任意波形发生器 数据手册 采用先进的 DDS 技术 双通道输出 125Msa/s 采样率 14bit 垂直分辨率 输出 5 种标准波形 内置 48 种任意波形 丰富的调制功能 AM DSB-AM FM PM FSK ASK PWM 以及输出线性/ 对数扫描和脉冲串波形 丰富的输入输出 波形输出 同步信号 输出 外接调制源 外接基准

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

XX部文件

XX部文件 RIGOL 数据手册 DG2000 系列函数 / 任意波形发生器 DG2041A,DG2021A 产品综述 RIGOL DG2000 系列函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 (

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 ( 支持

More information

函数信号发生器参数表.doc

函数信号发生器参数表.doc 本技术指标为速准科技的相关参数, 更详细的参数请联系相关技术人员联系 网址 :www.quangtasylum.com.cn;tel:0755-23942983 QQ:66702122 MOB:13714678135 QA203D/QA206D/QA212D 函数信号发生器相关技术指标 ( 除非另行说明, 所有技术指标都是在使用 50Ω 电阻负载的情况下实现的 ) 仪器特征 型号 QA203D/QA206D/QA212D

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

R&S SMA100A 信号发生器简介 信号质量 测量速度和灵活性是当今衡量信号发生器的标准, SMA100A 能够完美地满足这些标准, 凭借其出色的性能开创了模拟信号发生器的新标准, 成为超一流的模拟信号发生器 SMA100A 融最佳的信号质量和非常快的设置速度于一身, 使其成为完成任何测试任务的

R&S SMA100A 信号发生器简介 信号质量 测量速度和灵活性是当今衡量信号发生器的标准, SMA100A 能够完美地满足这些标准, 凭借其出色的性能开创了模拟信号发生器的新标准, 成为超一流的模拟信号发生器 SMA100A 融最佳的信号质量和非常快的设置速度于一身, 使其成为完成任何测试任务的 R&S SMA100A 信号发生器开创优秀模拟信号发生器的新标准 测试与测量 产品手册 06.00 罗德与施瓦茨信号发生器 R&S SMA100A 1 R&S SMA100A 信号发生器简介 信号质量 测量速度和灵活性是当今衡量信号发生器的标准, SMA100A 能够完美地满足这些标准, 凭借其出色的性能开创了模拟信号发生器的新标准, 成为超一流的模拟信号发生器 SMA100A 融最佳的信号质量和非常快的设置速度于一身,

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 30 MHz 函数 / 任意波形发生器 33521A 单通道函数 / 任意波形发生器 33522A 双通道函数 / 任意波形发生器 技术资料 (alias-protected) 33500 系列函数 / 任意波形发生器 实现更出色的精度和灵活性 Keysight 33500 / 10 主要特性 30 MHz 40 ps 0.04% 250 MSa/s 16 33522A 1 MSa 16

More information

技术参数 型号 SDG5162 SDG5122 SDG5082 最高输出频率 160MHz 120MHz 80MHz 输出通道数 2 采样率 500 MSa/s 任意波长度 CH1:16 kpts CH2:512 kpts 频率分辨率 1 μhz 垂直分辨率 14 bit 波形 正弦波 方波 三角波

技术参数 型号 SDG5162 SDG5122 SDG5082 最高输出频率 160MHz 120MHz 80MHz 输出通道数 2 采样率 500 MSa/s 任意波长度 CH1:16 kpts CH2:512 kpts 频率分辨率 1 μhz 垂直分辨率 14 bit 波形 正弦波 方波 三角波 数据手册 SDG5000 系列函数 / 任意波形发生器 主要特性 采用先进的 DDS 技术, 双通道输出, 500MSa/s 采样率,14bit 垂直分辨率 2ppm 高频率稳定度,-116dBc/Hz 低相位噪声 (SSB) 具备优越的信号保真度,512K 点波形长度, 可输出复杂的任意波形, 可更精确的显示客户定义的信号 采用独特的 EasyPulse 技术, 可输出低抖动 快速上升沿 / 下降沿

More information

XX部文件

XX部文件 RIGOL 数据手册 DG2000 系列函数 / 任意波形发生器 DG2041A,DG2021A 产品综述 RIGOL DG2000 系列函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 (

More information

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322 Keysight Technologies 53200A / / Keysight 53200 RF 12 20 ps 350 MHz 6 15 GHz 12 20 ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 53220A, 53210A, 53220A,

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试 人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 内置帮助系统, 方便获取帮助信息 文件管理 (

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 ( 支持

More information

DG4000数据手册2012年第1期全-CN

DG4000数据手册2012年第1期全-CN 第一期 DG4000 系列 最高输出频率 :160 MHz, 100 MHz, 60 MHz 500MSa/s 采样率, 14 bits 垂直分辨率标配等性能双通道 2ppm 高频率稳定度低相噪至 -115dBc/Hz 丰富的模拟调制和数字调制功能内置 150 种任意波形内置 7 位 / 秒, 200MHz 带宽的频率计标配多至 16 次的谐波发生器功能功能强大的上位机软件标配接口 : USB Host

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2 200MHz / & NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 =1048576(IEC 60027-2/ IEEE 1541-2002 P.7 DC / FMFSKPMPSKAMDCPWM DC 2 222 2 DC 2DC P.8 2 DC100MHz 200MHz/ 0.01Hz200MHz

More information

特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下

特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下 数据手册-2016.11 数据手册 -2017.05 SDG1000X系列 函数/任意波形发生器 特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下降沿精细可调,

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

2 Keysight 33210A 10 MHz 函数 / 任意波形发生器 - 技术资料 价格合理, 性能优异 Keysight 33210A 函数 / 任意波形发生器是 332XX 产品家族的最新成员 它用直接数字合成 (DDS) 技术生成稳定 精确和低失真正弦波信号, 以及具有快速上升和下降时间

2 Keysight 33210A 10 MHz 函数 / 任意波形发生器 - 技术资料 价格合理, 性能优异 Keysight 33210A 函数 / 任意波形发生器是 332XX 产品家族的最新成员 它用直接数字合成 (DDS) 技术生成稳定 精确和低失真正弦波信号, 以及具有快速上升和下降时间 Keysight 33210A 10 MHz 函数 / 任意波形发生器 技术资料 10 MHz 正弦波和方波 脉冲 斜波 三角波 噪声和直流波形 提供 14 位 50 MSa/s 8 K 点任意波形发生器选件 AM FM 和 PWM 调制类型 线性和对数扫描以及猝发脉冲 幅度范围为 10 mvpp 至 10 Vpp 图形模式便于观察验证信号设置 含有 USB GPIB 和 LAN 接口 完全符合 LXI

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

GS01W02E01-01ZH_007_CH.indd

GS01W02E01-01ZH_007_CH.indd > > General Specifications YFGW510 GS 01W02E01-01ZH GS (ISA) ISA100.11aISA100.11a (YFGW410) / (YFGW610) Duocast (ISA100.11a) ISA100.11aDuocastYFGW510 LAN (IEEE802.11a/b/g) LAN (2.4 GHz 5

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

XX部文件

XX部文件 RIGOL 数据手册 DG10X2 系列函数 / 任意波形发生器 DG1012,DG1022 产品综述 RIGOL DG10X2 系列函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理

More information

Streamline Series P937XA USB Vector Network Analyzer 2-port, Up to 26.5 GHz - Data Sheet

Streamline Series P937XA USB Vector Network Analyzer 2-port, Up to 26.5 GHz - Data Sheet N9322C 基础型频谱分析仪 定义与条件 深入了解此产品 参见这些常用文档 : 手册 (5991-1166CHCN) 产品特性介绍 配置指南 (5991-1168CHCN) 描述订货信息了解软件相关文档的最新版本或更多信息, 请访问网站 : www.keysight.com/find/ n9322c 技术指标 详细描述产品保修所涉及的参数性能, 除非另有说明, 适用于 5 到 45 C 的温度范围

More information

pdf

pdf SMART INVERTER, SMART CHOICE www.siemens.com.cn/v20 0.12 kw ~ 15 kw USS MODBUS RTU 7.5 kw ~ 15 kw PCB V/fV 2 /f 0.12 kw ~ 15 kw 1AC 200 V... 240 V ( -10 % / +10 % ) 3AC 380 V... 480 V ( -15 % / +10 % )

More information

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060- D51.2 2003 MICROMSTER 410/420/430/440 D51.2 2003 micromaster MICROMSTER 410/420/430/440 0.12kW 250kW MICROMSTER 410/420/430/440 MICROMSTER 410 0.12 kw 0.75 kw 0.12kW 250kW MICROMSTER 420 0.12 kw 11 kw

More information

s

s s PLC (IEC) : PLC EMC EMC EMC PLC MC AS : 2 . 4 1. 2. 5 1. 2. EMC 3.. 14 1. 2.. 16. SINUMERIK SIMODRIVE 17 1. 2. 3.. PLC 23 1. S7-200 PLC 2. S7-200 3. S7-200 (TP/OP/MP) 4.. 30 1. 2. 3 . 1. 1.3 n 2 : 2

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

untitled

untitled SIMEAS Q80 SR 10.2.1 V1.0 Answers for energy. / SIMEAS Q80 3 3 4 8 10 11 12 14 15 16 20 24 25 30 31 32 CE 33 SIMEAS Q80 SR10.2.1 V1.0 2 / SIMEAS Q80 IEC 61000-4-15, IEC 61000-4-7 IEC 61000-4-30 (A) SIMEAS

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud 02 Keysight N1090A N1092A/B/C/D/E N1094A/B DCA-M DCA...03 N109X...04 N1090A...07 N1092/4...08 N1090A... 10 N1090A EEC... 12 N1090A...

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

X PXA/MXA/EXA/CXA PNA-X SNS

X PXA/MXA/EXA/CXA PNA-X SNS 02... 2... 3... 4... 5... 10 X PXA/MXA/EXA/CXA... 11 PNA-X... 13 SNS... 14 346... 15... 17... 18 50 3 9 10 19 20 www.keysight.com/find/noisefigure 03 LNA LNA DUT S Y Y Y 57-1 5952-8255 CHCN 50 Ω S21 PNA-X

More information

Willtek 9102 Handheld Spectrum Analyzer

Willtek 9102 Handheld Spectrum Analyzer 9102 boosting wireless efficiency Willtek 9102 9102 EMI 9102 (tracking generator option), 9160 VSWR/DTF 9130 VSWR/DTF Reflection Measurement Option 9102 4GHz 9102-9102 EN 55022, IEC 61000-4 EN 60068 9102

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3

1 (Hz DC1mHz~100kHz Hz~5MHz % 5ms FAST/NORMAL/SLOW/SLOW2 5ms( Z ( 14 Z Y Rp(DCR* Rs(ESR,DCR* G X B Lp Ls Cp Cs D(tan Q *DCR3 3522-50/3532-50/3535 LCR http//www.hioki.cn HIOKI,, 3522/3532 LCR 5ms( 4 3522-50 DC1mHz~100kHz 3532-50 42Hz~5MHz 3535100kHz~120MHz 6ms BIN( 3535 0.08% DC 1mHz~100kHz(3522-50/42Hz~5MHz(3532-50 3535 Z0.5%

More information

untitled

untitled CN LUCAS TM 2 100901-20 A CO J2665 2014 Jolife AB LUCAS 2 100901-20 A CO J2711 2014 Jolife AB 2 LUCAS 2! LUCAS 2 Physio-Control JOLIFE AB JOLIFE AB Scheelevägen 17 Ideon Science Park SE-223 70 LUND Sweden

More information

WaveStation_Datasheet_November_2013.indd

WaveStation_Datasheet_November_2013.indd 函数 / 任意波形发生器 主要特点 : 高性能的 14bit 分辨率,500MS/s 采样率和 512kpts 存储深度 全系列型号标配 2 通道 彩色大屏幕方便波形预览 超过 40 种内置任意波形库 线性 & 对数扫频和冲击模式 USB 和 GPIB 扩展连接 图形化波形编辑套件 内置 5 种基本信号类型和超过 40 种内置任意波形库, 应对全面的信号生成挑战 多种调制方式, 直观的波形创建软件和远程控制能力,

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

2 Keysight 毫米波频率扩展器 - 技术概述 K e y s i g h t X 系列信号分析仪在高达 GHz 的频率上提供各种出色的性能特征, 包括动态范围 显示平均噪声电平 (DANL) 失真性能 相位噪声和测量速度 结合使用 Virginia Diodes Inc. (VDI) 生产的

2 Keysight 毫米波频率扩展器 - 技术概述 K e y s i g h t X 系列信号分析仪在高达 GHz 的频率上提供各种出色的性能特征, 包括动态范围 显示平均噪声电平 (DANL) 失真性能 相位噪声和测量速度 结合使用 Virginia Diodes Inc. (VDI) 生产的 是德科技毫米波频率扩展器 Virginia Diodes 公司生产, 可与 Keysight X 系列信号分析仪搭配使用 技术概述 2 Keysight 毫米波频率扩展器 - 技术概述 K e y s i g h t X 系列信号分析仪在高达 GHz 的频率上提供各种出色的性能特征, 包括动态范围 显示平均噪声电平 (DANL) 失真性能 相位噪声和测量速度 结合使用 Virginia Diodes

More information

ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19" NO x NO x ULTRAMAT 23 6mm 1/4 TÜV

ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19 NO x NO x ULTRAMAT 23 6mm 1/4 TÜV ULTRAMAT 23 ULTRAMAT 23 2 2 3 5 6 7 9 11 13 15 16 18 24 24 1 ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O 2 6-12 ULTRAMAT 23

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt IQnav GPS 产品测试方案 6/19/2008 目 录 传统 GPS 测试的挑战 LitePoint 的 IQnav 解决方案 总结 6/19/2008 2 May 8 th, 2008 GPS 产品全球蔓延 Nokia 称,2008 年他们将带来 35,000,000 台 GPS 电话 ( 大概相当于 2007 年整个 GPS 市场的数量 ) Nokia CEO Olli-Pekka Kallasvuo

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Layout 1

Layout 1 P&P P&P 1989 ESSEX P&P Onyx Onyx P & P ISO9001 2000 P&P P & P 1 Finch Drive, Springwood Ind Est, Braintree, Essex, UK, CM7 2SF +44 0 1376550525 +44 0 1376552389 info@p-p-t.co.uk 88 215217 0086 512 63327966

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

untitled

untitled 0.37kW 250kW D11.7 2009 SINAMICS G120 0.37kW 250kW SINAMICS G120 Answers for industry. SINAMICS G120 0.37kW 250kW SINAMICS G110 D 11.1 0.12 kw 3 kw CA01 MC CA01 MC CD : E20001-K20-C-V2-5D00 141-P90534-09020

More information

是德科技 Virginia Diodes 公司的毫米波频率扩展器将 Keysight PSG 信号发生器频率扩展至 1.1 THz 技术概述 Keysight PSG 系列信号发生器在高达 67 GHz 的频率上提供各种出色的性能特征, 包括输出功率 相位噪声 杂散和谐波失真和灵活的调制 结合使用

是德科技 Virginia Diodes 公司的毫米波频率扩展器将 Keysight PSG 信号发生器频率扩展至 1.1 THz 技术概述 Keysight PSG 系列信号发生器在高达 67 GHz 的频率上提供各种出色的性能特征, 包括输出功率 相位噪声 杂散和谐波失真和灵活的调制 结合使用 是德科技 Virginia Diodes 公司的毫米波频率扩展器将 Keysight PSG 信号发生器频率扩展至 1.1 THz 技术概述 Keysight PSG 系列信号发生器在高达 67 GHz 的频率上提供各种出色的性能特征, 包括输出功率 相位噪声 杂散和谐波失真和灵活的调制 结合使用 Virginia Diodes Inc. (VDI) 生产的频率扩展器,PSG 信号发生器将会在高达

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

XX部文件

XX部文件 RIGOL 数据手册 DG3000 系列函数 / 任意发生器 DG3121A,DG3101A,DG3061A 产品综述 RIGOL DG3000 系列函数 / 任意发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 纯净 低失真的输出信号 同时,DG3000 是业界第一款带有数字逻辑输出功能的混合信号发生器 (MSG) 应用领域 模拟传感器 实际环境信号 电路功能测试 串行总线调试

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP ... 1... 1... 1... 2... 2... 3... 3 Philips Respironics...3... 4 /...5... 6...6... 7... 8... 8...9... 9...10 Bluetooth...14...15...15...15...16...20...22...23...24...24...24...24...25...26...27

More information

DSA700 系列频谱分析仪 常用功能键 高级测量键 光标测量键 USB 接口 射频输入 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 全数字中频带给我们什么? 1. 可以测

DSA700 系列频谱分析仪 常用功能键 高级测量键 光标测量键 USB 接口 射频输入 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 全数字中频带给我们什么? 1. 可以测 DSA700 系列频谱分析仪 采用全数字中频技术频率范围从 100 khz 到最高达 1 GHz 显示平均噪声电平 DANL 最低达 -130 dbm( 典型值 ) 相位噪声典型值达

More information

XGW1-12户外环网柜.indd

XGW1-12户外环网柜.indd ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2008 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000

More information

AW-03935-401_002_01.indd

AW-03935-401_002_01.indd 目 录 医 生 检 查 清 单...................................... 1 系 统 说 明.......................................... 1 适 应 症............................................ 2 禁 忌 症............................................

More information

TSI 8384/8385/8386 Manual

TSI 8384/8385/8386 Manual 8384/85/86 TSI/ VELOCITY FLOWRATE PRESSURE zero TEMP HUMIDITY SAMPLE options NEXT TEST clear STATISTICS review data SAMPLE INTERVAL HEAT FLOW THERMAL PITOT ACTUAL STANDARD ENTER ON/OFF 7 1 8 TEL:(02)26018201

More information

高频电疗法

高频电疗法 高 频 电 疗 法 高 频 电 疗 法 频 率 大 于 100kHz 的 交 流 电 属 于 高 频 电 流 应 用 高 频 电 流 作 用 于 人 体 以 治 疗 疾 病 的 方 法, 称 高 频 电 疗 法 ( high frequency electrotherapy ) 高 频 电 疗 法 高 频 电 疗 的 作 用 方 式 有 5 种 共 鸣 火 花 放 电 法 直 接 接 触 法 电 容

More information

/ / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C)

/ / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C) SOUNDTOUCH AMPLIFIER SA-5 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. / 11. 12. / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C) 2000 2 - FCC 15 B / Bose Corporation 5150 5250 MHz FCC 15 RSS (1) (2) FCC RF 20 cm RSS

More information

目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修... 3 4.0 简 介... 4 5.0 安 全 须 知... 5 5.1 电 气 安 全... 5 5.2 爆 炸... 6 5.3 患 者 连 接... 6 5.4 MRI...

目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修... 3 4.0 简 介... 4 5.0 安 全 须 知... 5 5.1 电 气 安 全... 5 5.2 爆 炸... 6 5.3 患 者 连 接... 6 5.4 MRI... 7600/7800 型 操 作 手 册 病 人 监 护 仪 7800 型 病 人 监 护 仪 的 外 观 2015 IVY Biomedical Systems Inc. 保 留 所 有 权 利 部 件 号 3232-01-16 部 件 号 2718-55-16 Rev.03 CS 目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修...

More information

ITU-R M.1849建议书 - 地面气象雷达的技术和操作问题

ITU-R M.1849建议书 - 地面气象雷达的技术和操作问题 ITU-R M.1849 建 议 书 (04/2010) 地 面 气 象 雷 达 的 技 术 和 操 作 问 题 M 系 列 移 动 无 线 电 测 定 业 余 和 相 关 卫 星 业 务 ii ITU-R M.1849 建 议 书 前 言 无 线 电 通 信 部 门 的 职 责 是 确 保 卫 星 业 务 等 所 有 无 线 电 通 信 业 务 合 理 平 等 有 效 经 济 地 使 用 无 线

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

_InfraSuite datacenter_B5_SC_ _print.pdf

_InfraSuite datacenter_B5_SC_ _print.pdf The power behind competitiveness InfraSuite www.deltagreentech.com.cn InfraSuite II 1 ----------------------------------------------------------------------1 1.1 -----------------------------------------------------------------------------------------------

More information

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP 3/2 3/4 3/4 SINUMERIK OP 010 3/5 SINUMERIK OP 010S 3/6 SINUMERIK OP 010C 3/7 SINUMERIK OP 012 3/8 SINUMERIK TP 012 3/9 SINUMERIK OP 015 3/10 SINUMERIK OP 015A 3/11 SINUMERIK TP 015A 3/12 SINUMERIK OP 030

More information

SDG1000X系列 数据手册 函数/任意波形发生器

SDG1000X系列 数据手册 函数/任意波形发生器 SDG1000X系列 数据手册-2019-03 函数/任意波形发生器 特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1022X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下降沿精细可调, 具备极高的调节分辨率和调节范围创新的

More information

WatchOUT 315DT Installation Manual 2

WatchOUT 315DT Installation Manual 2 双 技 术 户 外 探 测 器 WatchOUT 315DT 安 装 说 明 书 继 电 器 和 BUS 模 式 WatchOUT 315DT Installation Manual 2 目 录 继 电 器 模 式 安 装......4 简 介............ 4 安 装...........4 安 装 注 意 事 项.........4 墙 壁 安 装...........5 平 面 安

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

UPS 2007,01

UPS 2007,01 UPS 2007,01 UPS UPS UPS / / UPS UPS UPS UPS / UPS UPS 9, 10 1890 110 Global Electronics 1. GE 2. Sony 3. Emerson US Electronics 1. GE 2. Emerson 60 290 150 3 50 500 2005 Marconi Emerson. 32.5% 网络能源全方位产品

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

E4980A Precision LCR Meter 20 Hz to 2 MHz, E4980AL Precision LCR Meter 20 Hz to 300 kHz/500 kHz/1 MHz – Data Sheet

E4980A Precision LCR Meter 20 Hz to 2 MHz, E4980AL Precision LCR Meter 20 Hz to 300 kHz/500 kHz/1 MHz – Data Sheet Keysight Technologies E4980A LCR 20 Hz 2 MHz E4980AL LCR 20 Hz 300 khz/500 khz/1 MHz LXI Class C 02 Keysight E4980A LCR 20 Hz 2 MHz E4980AL LCR 20 Hz 300 khz/500 khz/1 MHz...03...03 E4980A/E4980AL...03...04...04...05...07...08...

More information

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz Data Sheet ChannelFly AC IP-67 Wi-Fi ZoneDirector / FlexMaster Smart Wi-Fi AP ( 3GPP I-WLAN 4G/LTE ) Wi-Fi 6 db 15 db AC 500 Smart Wi-Fi Wi-Fi IP ZoneFlex 7762 802.11N SMART WI-FI AP 2.4/5GHz 802.11n Access

More information