Gowin_EMPU_M1 IDE软件

Size: px
Start display at page:

Download "Gowin_EMPU_M1 IDE软件"

Transcription

1 Gowin_EMPU_M1 IDE 软件 参考手册 IPUG ,

2 版权所有 2021 广东高云半导体科技股份有限公司,,Gowin, 高云均为广东高云半导体科技股份有限公司注册商标, 本手册中提到的其他任何商标, 其所有权利属其拥有者所有 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外, 高云半导体概不承担任何法律或非法律责任 高云半导体对高云半导体产品的销售和 / 或使用不作任何明示或暗示的担保, 包括对产品的特定用途适用性 适销性或对任何专利权 版权或其它知识产权的侵权责任等, 均不作担保 高云半导体对文档中包含的文字 图片及其它内容的准确性和完整性不承担任何法律或非法律责任, 高云半导体保留修改文档中任何内容的权利, 恕不另行通知 高云半导体不承诺对这些文档进行适时的更新

3 版本信息 日期版本说明 2019/02/ 初始版本 2019/07/ /08/ MCU 硬件设计与软件编程设计支持扩展外部设备 CAN Ethernet SPI-Flash RTC TRNG DualTimer I2C SPI SD-Card; MCU 支持片外 SPI-Flash 下载启动方式 MCU 硬件设计与软件编程设计支持扩展外部设备 DDR3 Memory; 修复已知 ITCM DTCM Size 和 IDE 问题 2019/09/ 更新优化 MCU 编程软件 GOWIN MCU Designer 界面和功能 2020/01/ MCU 硬件设计与软件编程设计支持外部设备 PSRAM; 更新 MCU 编译软件 GMD V1.0; 更新 RTOS 参考设计 ; 增加 AHB2 和 APB2 扩展总线接口硬件和软件参考设计 2020/03/ 支持 FPGA 器件 GW2A-18C/GW2AR-18C/GW2A-55C 2020/06/ MCU 支持外部指令存储器 ; MCU 支持外部数据存储器 ; 扩展 6 个 AHB 总线接口 ; 扩展 16 个 APB 总线接口 ; GPIO 支持多种接口类型 ; I 2 C 支持多种接口类型 2021/07/ 更新 MCU 软件版本 2021/10/ GW2AN-9X/GW2AN-18X, 修改 ITCM 和 DTCM Size 范围

4 目录 目录 目录... i 图目录... ii 1 ARM Keil 软件 软件安装 工程模板 创建工程 配置选项 编译 下载 软件在线调试 参考设计 GOWIN MCU Designer 软件安装与配置 工程模板 创建工程 配置选项 编译 下载 软件在线调试 参考设计 IPUG i

5 图目录 图目录 图 1-1 创建工程... 1 图 1-2 配置器件... 2 图 1-3 配置 ROM 和 RAM... 3 图 1-4 配置输出文件格式... 4 图 1-5 配置头文件路径... 4 图 1-6 配置 JTAG 调试接口类型... 5 图 1-7 配置 SW 调试接口类型... 6 图 1-8 配置 Flash 选项... 6 图 1-9 配置调试初始化文件... 7 图 1-10 工程编译... 7 图 1-11 仿真器连接... 8 图 1-12 启动调试... 9 图 2-1 创建工程 图 2-2 选择平台配置类型 图 2-3 选择编译工具链和路径 图 2-4 配置 Target Processor 图 2-5 配置 Cross ARM GNU Assembler > Preprocessor 图 2-6 配置 Cross ARM C Compiler > Includes 图 2-7 配置 Cross ARM C Linker 图 2-8 配置 Cross ARM GNU Create Flash Image 图 2-9 配置 Devices 图 2-10 编译 图 2-11 建立软件调试配置选项 图 2-12 配置 Main 选项 图 2-13 配置 Debugger 选项 图 2-14 配置软件调试等级 图 2-15 启动软件在线调试 IPUG ii

6 1 ARM Keil 软件 1.1 软件安装 1 ARM Keil 软件 1.1 软件安装 注! 1.2 工程模板 创建工程 请参考 ARM Keil MDK 网站提供的 Getting Started with MDK. 建议使用 ARM Keil MDK V5.26 及以上版本 使用 ARM Keil MDK 进行 Gowin_EMPU_M1 软件编程设计, 需要创建工程 配置选项 编写代码 编译 下载和调试 双击打开 ARM Keil MDK, 选择菜单栏 Project > New uvision Project, 创建工程, 如图 1-1 所示 图 1-1 创建工程 配置选项 配置器件 Gowin_EMPU_M1 内置 ARM Cortex-M1 内核, 所以器件类型配置为 ARM Cortex M1 > ARMCM1, 如图 1-2 所示 IPUG (20)

7 1 ARM Keil 软件 1.2 工程模板 图 1-2 配置器件 配置 ROM 和 RAM Gowin_EMPU_M1 的内部指令存储器或外部指令存储器作为 ROM Gowin_EMPU_M1 的内部数据存储器或外部数据存储器作为 RAM 1. 配置 ROM(Internal Instruction Memory) 和 RAM(Internal Data Memory 的起始地址和 Size ROM 起始地址和 Size 配置 : 片外 SPI-Flash 下载启动方式 : - ROM 起始地址 :0x400; - ROM Size: 请根据硬件设计 ITCM Size 的实际配置来设置, 以软件开发工具包参考设计为例, 设置为 0x7C00 片内 ITCM 初始值下载启动方式 : - ROM 起始地址 :0x ; - ROM Size: 请根据硬件设计 ITCM Size 的实际配置来设置, 以软件开发工具包参考设计为例, 设置为 0x8000 RAM 起始地址和 Size 配置 : RAM 起始地址 :0x ; RAM Size: 请根据硬件设计 DTCM Size 的实际配置来设置, 以软件开发工具包参考设计为例, 设置为 0x8000 受限于片内 Block RAM 硬件存储资源,ITCM 和 DTCM 的 Size 配置不能超过片内 Block RAM 的最大存储资源 : GW1N-9/GW1NR-9/GW1N-9C/GW1NR-9C,ITCM 或 DTCM 最大可配置为 32KB, 如果 ITCM 或 DTCM 某个存储器已配置为 32KB, 则另一个存储器最大只能配置为 16KB; IPUG (20)

8 1 ARM Keil 软件 1.2 工程模板 GW2AN-9X/GW2AN-18X,ITCM 或 DTCM 最大可配置为 32KB, 如果 ITCM 或 DTCM 某个存储器已配置为 32KB, 则另一个存储器最大只能配置为 16KB; GW2A-18/GW2A-18C/GW2AR-18/GW2AR-18C/GW2ANR-18C, ITCM 或 DTCM 最大可配置为 64KB, 如果 ITCM 或 DTCM 某个存储器已配置为 64KB, 则另一个存储器最大只能配置为 16KB; GW2A-55/GW2A-55C/GW2AN-55C,ITCM 或 DTCM 最大可配置为 256KB, 如果 ITCM 或 DTCM 某个存储器已配置为 256KB, 则另一个存储器最大只能配置为 16KB ROM(Internal Instruction Memory) 和 RAM(Internal Data Memory) 的配置, 如图 1-3 所示 以软件开发工具包 DK-START-GW2A18 V2.0 开发板参考设计为例, ROM 起始地址设置为 0x400, Size 设置为 0x7C00, RAM 起始地址设置为 0x , Size 设置为 0x8000 图 1-3 配置 ROM 和 RAM 2. 配置 ROM(External Instruction Memory) 和 RAM(External Data Memory) 的起始地址和 Size ROM 起始地址和 Size 配置 : ROM 起始地址 :0x ; ROM Size: 请根据硬件设计实际 Size 设置 RAM 起始地址和 Size 配置 : RAM 起始地址 :0x ; RAM Size: 请根据硬件设计实际 Size 设置 IPUG (20)

9 1 ARM Keil 软件 1.2 工程模板 配置输出文件格式 Gowin_EMPU_M1 软件编程设计, 需要产生软件设计二进制 BIN 文件, 所以需要将 axf 文件格式转换为二进制 BIN 文件格式 如果使用软件设计二进制 BIN 文件作为 ITCM 的初始值, 需要使用 make_hex.exe 工具, 将软件设计二进制 BIN 文件转换为四个十六进制映像文件 itcm0 itcm1 itcm2 和 itcm3 User 命令行选项中文件格式转换工具调用方法, 如图 1-4 所示 Run #1: fromelf.exe --bin -o bin-file axf-file Run #2: make_hex.exe bin-file 图 1-4 配置输出文件格式 配置头文件路径 配置 C 头文件路径, 编译过程中用来调用 C 头文件, 配置如图 1-5 所示 图 1-5 配置头文件路径 IPUG (20)

10 1 ARM Keil 软件 1.2 工程模板 配置调试选项 配置仿真器类型 - U-LINK 仿真器如果选择使用 U-LINK 仿真器, 则 Debug 选项配置为 ULNK2/ME Cortex Debugger; - J-LINK 仿真器如果选择使用 J-LINK 仿真器, 则 Debug 选项配置为 J-LINK/J-TRACE Cortex 配置调试接口类型 - JTAG 调试接口类型如果配置为 JTAG 调试接口类型, 配置方法如图 1-6 所示 图 1-6 配置 JTAG 调试接口类型 - SW 调试接口类型如果配置为 SW 调试接口类型, 配置方法如图 1-7 所示 IPUG (20)

11 1 ARM Keil 软件 1.2 工程模板 图 1-7 配置 SW 调试接口类型 调试接口类型配置选项中 : 请不要勾选 Download Options > Verify Code Download 选项 请不要勾选 Download Options > Download to Flash 选项 配置 Flash 选项 如果需要在线调试, 请不要勾选 Update Target before Debugging 选项, 如图 1-8 所示 图 1-8 配置 Flash 选项 IPUG (20)

12 1 ARM Keil 软件 1.2 工程模板 配置调试初始化文件 如果选择片外 SPI-Flash 下载方式, 软件在线调试时需要加载调试初始化文件, Debug > Initialization File 选项中, 选择加载调试初始化文件 ext_debug.ini, 如图 1-9 所示 图 1-9 配置调试初始化文件 编译 完成代码编写和选项配置后, 单击工具栏 Build ( ) 或 Rebuild ( ), 编译产生软件设计二进制 BIN 文件和四个十六进制映像文件 itcm0 itcm1 itcm2 和 itcm3, 如图 1-10 所示 图 1-10 工程编译 IPUG (20)

13 1 ARM Keil 软件 1.2 工程模板 下载 软件在线调试 完成 Gowin_EMPU_M1 软件编程设计编译后, 软件编程设计下载方法, 请参考 :IPUG532, Gowin_EMPU_M1 下载参考手册 完成硬件设计产生的硬件设计码流文件和软件编程设计产生的软件设计二进制 BIN 文件的下载后, 如果用户软件设计出现问题, 可以连接 U-LINK 或 J-LINK 仿真器在线调试 用户可以下载 调试软件, 无需重新编译硬件设计 1. 连接仿真器 按照硬件设计中约束到 FPGA IO 的 Debug Access Port (JTAG_3~JTAG_18,VCC 和 GND) 的位置, 连接 J-LINK 或 U-LINK 以软件开发工具包 DK-START-GW2A18 V2.0 开发板参考设计为例, 仿真器连接方式, 如图 1-11 所示 图 1-11 仿真器连接 2. 启动调试连接 U-LINK 或 J-LINK 仿真器, 单击工具栏 Debug ( ), 进入调试状态, 可以执行断点设置 单步调试 复位和运行等操作, 如图 1-12 所示 IPUG (20)

14 1 ARM Keil 软件 1.3 参考设计 图 1-12 启动调试 1.3 参考设计 Gowin_EMPU_M1 支持 ARM Keil MDK(V5.26 及以上版本 ) 软件环境的参考设计, 通过链接获取如下参考设计 : Gowin_EMPU_M1\ref_design\MCU_RefDesign\Keil_RefDesign IPUG (20)

15 2 GOWIN MCU Designer 2.1 软件安装与配置 2 GOWIN MCU Designer 2.1 软件安装与配置 高云半导体网站提供 GOWIN MCU Designer 软件安装包下载 GOWIN MCU Designer 软件安装与配置, 请参考 SUG549, GOWIN MCU Designer 用户指南 注! 2.2 工程模板 创建工程 建议使用 GOWIN MCU Designer V1.1 及以上版本 使用 GOWIN MCU Designer 进行 Gowin_EMPU_M1 软件编程设计, 需要创建工程 配置选项 编写代码 编译 下载和在线调试 创建工程 选择菜单栏 File > New > C Project, 如图 2-1 所示 1. 建立项目名称和项目位置 ; 2. 选择项目类型 Empty Project ; 3. 选择编译工具链 ARM Cross GCC IPUG (20)

16 2 GOWIN MCU Designer 2.2 工程模板 图 2-1 创建工程 选择平台配置类型 选择平台配置类型 Debug 和 Release, 如图 2-2 所示 图 2-2 选择平台配置类型 IPUG (20)

17 2 GOWIN MCU Designer 2.2 工程模板 选择编译工具链和路径 选择交叉编译工具链 arm-none-eabi-gcc 及其所在路径, 建议默认配置 Toolchain name 和 Toolchain path, 如图 2-3 所示 图 2-3 选择编译工具链和路径 配置选项 建立项目工程 完成工程创建后, 在 Project Explorer 视图中选择新建的项目工程, 添加工程结构和代码, 导入软件编程设计 以软件开发工具包 GMD_RefDesign 参考设计为例, 软件编程设计项目工程及代码, 如下所示 CORE:ARM Cortex-M1 MCU 内核定义 PERIPHERAL: 外设驱动函数库 STARTUP:MCU 内核引导启动文件 SYSTEM: 外设寄存器定义 系统初始化和系统时钟定义 USER: 用户应用设计 GOWIN_M1_flash.ld:GMD Flash 链接器 完成项目工程建立后, 在 Project Explorer 视图中选择当前工程, 右键选择 Refresh 选项, 自动更新当前项目工程的结构和代码 Project Explorer 视图中, 选择当前工程, 右键选择 Properties > C/C++ Build > Setting, 配置当前工程的参数选项 配置 Target Processor 配置 Target Processor > ARM family 选项, 该选项配置为 cortex-m1, 如图 2-4 所示 IPUG (20)

18 2 GOWIN MCU Designer 2.2 工程模板 图 2-4 配置 Target Processor 配置 Cross ARM GNU Assembler > Preprocessor 配置 Cross ARM GNU Assembler > Preprocessor > Defined symbols (-D) 选项, 该选项配置为 STARTUP_CLEAR_BSS, 如图 2-5 所示 图 2-5 配置 Cross ARM GNU Assembler > Preprocessor IPUG (20)

19 2 GOWIN MCU Designer 2.2 工程模板 配置 Cross ARM C Compiler > Includes 配置 Cross ARM C Compiler > Includes > Include paths (-I) 选项, 该选项配置 C 头文件引用路径, 如图 2-6 所示 以软件开发工具包 GMD_RefDesign 参考设计为例,C 头文件引用路径配置, 如下所示 : "${workspace_loc:/${projname}/core}" "${workspace_loc:/${projname}/peripheral/inc}" "${workspace_loc:/${projname}/system}" "${workspace_loc:/${projname}/user}" 图 2-6 配置 Cross ARM C Compiler > Includes 配置 Cross ARM C Linker 配置 Cross ARM C Linker > General > Script files (-T) 选项, 该选项配置 GOWIN_M1_flash.ld 作为 GMD Flash 链接器, 如图 2-7 所示 以软件开发工具包 GMD_RefDesign 参考设计为例,Flash 链接器配置, 如下所示 : "${workspace_loc:/${projname}/gowin_m1_flash.ld}" GMD Flash 链接器 Flash 起始地址 FLASH ORIGIN 设置, 如下所示 : Internal Instruction Memory - FLASH ORIGIN:0x ,ITCM Initialization 下载启动方式 ; - FLASH ORIGIN:0x , 片外 SPI-Flash 下载启动方式 External Instruction Memory IPUG (20)

20 2 GOWIN MCU Designer 2.2 工程模板 - FLASH ORIGIN:0x 图 2-7 配置 Cross ARM C Linker 配置 Cross ARM GNU Create Flash Image 配置 Cross ARM GNU Create Flash Image > General > Output file format (-O) 选项, 该选项配置为 Raw binary, 产生软件设计二进制 BIN 文件, 如图 2-8 所示 图 2-8 配置 Cross ARM GNU Create Flash Image IPUG (20)

21 2 GOWIN MCU Designer 2.2 工程模板 配置 Devices 配置 Devices > Devices 选项, 该选项配置为 ARM Cortex M1 > ARMCM1, 如图 2-9 所示 图 2-9 配置 Devices 编译 完成工程选项配置和代码编写后, 编译当前工程, 单击工具栏编译按钮, 编译产生软件设计二进制 BIN 文件, 如图 2-10 所示 图 2-10 编译 IPUG (20)

22 2 GOWIN MCU Designer 2.2 工程模板 下载 软件在线调试 完成 Gowin_EMPU_M1 软件编程设计编译后, 软件编程设计下载方法, 请参考 :IPUG532, Gowin_EMPU_M1 下载参考手册 完成 Gowin_EMPU_M1 软件设计二进制 BIN 文件下载后, 如果用户软件设计出现问题, 可以连接开发板与 J-LINK 仿真器, 在线调试当前软件设计 ( 在线调试的软件设计必须与下载到芯片中的软件设计保持一致 ) Gowin_EMPU_M1 软件在线调试流程, 包括 : 配置软件调试选项 配置软件调试等级 连接调试仿真器 启动软件在线调试 配置软件调试选项 1. 选择菜单栏 Run > Debug Configurations > GDB SEGGER J-Link Debugging, 右键选择 New, 建立当前工程的调试配置选项, 如图 2-11 所示 图 2-11 建立软件调试配置选项 2. 选择已建立的软件调试选项的 Main 选项, 配置当前调试工程的 Project 和 C/C++ Application 等选项, 如图 2-12 所示 IPUG (20)

23 2 GOWIN MCU Designer 2.2 工程模板 图 2-12 配置 Main 选项 3. 选择已建立的软件调试选项的 Debugger 选项, 配置当前调试工程的 J-Link 和 GDB 等选项, 如图 2-13 所示 - Device Name:Cortex-M1 - Interface:JTAG 或 SWD - Endianness:Little - Connection:USB 图 2-13 配置 Debugger 选项 IPUG (20)

24 2 GOWIN MCU Designer 2.2 工程模板 配置软件调试等级 Project Explorer 视图中, 选择当前调试项目工程的 Properties > C/C++ Build > Settings > Debugging > Debug level 选项, 建议配置调试等级为 Default(-g) 或 Maximum(-g3), 如图 2-15 所示 图 2-14 配置软件调试等级 启动软件在线调试 按照硬件设计 JTAG 调试接口 (JTAG_3~JTAG_18,VCC 和 GND) 的物理约束位置, 连接 J-LINK 仿真器与开发板 单击工具栏 Debug 按钮下拉列表, 选择当前项目的 Debug 配置, 单击进入调试状态, 执行断点设置 单步调试 复位和运行等操作, 如图 2-15 所示 IPUG (20)

25 2 GOWIN MCU Designer 2.3 参考设计 图 2-15 启动软件在线调试 2.3 参考设计 Gowin_EMPU_M1 支持 GOWIN MCU Designer(V1.1 及以上版本 ) 软件环境的参考设计, 通过链接获取如下参考设计 : Gowin_MCU_M1\ref_design\MCU_RefDesign\GMD_RefDesign IPUG (20)

26

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

ATC MCU AC781x 开发板说明手册 Version 1.0.5( )

ATC MCU AC781x 开发板说明手册 Version 1.0.5( ) ATC MCU AC781x 开发板说明手册 Version 1.0.5(2018.11.12) 修订记录 2 修订版本日期作者描述 1.0 2018-12-04 AutoChips 初版 1.0 2019-03-05 AutoChips J-Link V6.44 及以上版本驱动支持 AC781x 全系列芯片 目录 开发板简介 3 开发环境准备工程配置仿真器及配置程序烧录下载 开发板简介 4 开发板功能图

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

目 录

目 录 目录 第 1 章 TKStudio IDE 简介... 1 第 2 章使用 JLink 调试... 3 2.1 配置输出文件格式... 3 2.2 配置仿真器驱动... 3 2.2.1 JLinkGDBServer 路径... 7 2.2.2 接口类型... 7 2.2.3 目标地址... 8 2.2.4 端口... 8 2.2.5 初始化命令脚本... 8 2.2.6 使用 JFlashARM 烧写...

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Eclipse C C++, or

Eclipse C C++,  or Eclipse C C++, Emailctchen@pl.csie.ntut.edu.tw or s1669021@ntut.edu.tw, s2598003@ntut.edu.tw http://pl.csie.ntut.edu.tw/~ctchen, http://www.ntut.edu.tw/~s2598003/ 2004/9/10 (0.02 ) Eclipse http://www.eclipse.org

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

untitled

untitled www.cpucore.cn 51 Keil - 1 - V1.0 www.cpucore.cn 51 Keil - 2 - www.cpucore.cn 51 Keil - 3 - www.cpucore.cn 51 Keil - 4 - www.cpucore.cn 51 Keil - 5 - www.cpucore.cn 51 Keil - 6 - www.cpucore.cn 51 Keil

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

Microsoft Word - Copy of end-4.doc

Microsoft Word - Copy of end-4.doc 在 Keil MDK 中调试 AT91SAM9260... 2 一 初识 Keil MDK... 2 二 在内部 RAM 中调试... 3 三 在片外的 SDRAM 中调试... 7 四 从 Norflash 启动运行... 8 五 从 Norflash 启动, 最终运行在 SDRAM 中... 10 在 Keil MDK 中调试 AT91SAM9260 我们板子的配置是 at91sam9260+norflash+sram,

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7

恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.7 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 3 否 728 HK Equity 3.77 3.45 不适用 941 HK Equity 82.85 73.40 902 HK Equity

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

rz_Newsletter2016_en_160111.indd

rz_Newsletter2016_en_160111.indd 2016 Android AUTOSAR Linux AUTOSARAU Reverse ging Target Communication Framework ARM CoreSight TM Requirements Analysis Nexus Timing Tools Intel Trace Hub GDB Infineon MCDS Unit Testing PIL Simulation

More information

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un

1 LINUX IDE Emacs gcc gdb Emacs + gcc + gdb IDE Emacs IDE C Emacs Emacs IDE ICE Integrated Computing Environment Emacs Unix Linux Emacs Emacs Emacs Un Linux C July 27, 2016 Contents 1 Linux IDE 1 2 GCC 3 2.1 hello.c hello.exe........................... 5 2.2............................... 9 2.2.1 -Wall................................ 9 2.2.2 -E..................................

More information

简 介 本 白 皮 书 高 度 概 述 了 支 持 移 动 互 联 网 设 备 (Mobile Internet Device) 的 Intel C++ Software Development Tool Suite for Linux* OS, 目 标 读 者 主 要 是 技 术 决 策 制 订

简 介 本 白 皮 书 高 度 概 述 了 支 持 移 动 互 联 网 设 备 (Mobile Internet Device) 的 Intel C++ Software Development Tool Suite for Linux* OS, 目 标 读 者 主 要 是 技 术 决 策 制 订 白 皮 书 Robert Müller-Albrecht 开 发 人 员 产 品 部 门 支 持 移 动 互 联 网 设 备 的 Intel C++ Software Development Tool Suite for Linux* OS 文 档 编 号 :319332-001US 简 介 本 白 皮 书 高 度 概 述 了 支 持 移 动 互 联 网 设 备 (Mobile Internet Device)

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

Windows下STM32单片机的eclipse编译环境搭建

Windows下STM32单片机的eclipse编译环境搭建 英创公司开发的 ETA321 单片机模块, 是基于 STM32F103RC 单片机设计的实时任务处理单元模块 ETA321 模块作为客户端, 使用 USB 与英创公司的 ARM 工控主板进行数据传输 通讯, 根据工控主板应用程序发出来的指令, 执行相应的实时任务处理, 如实现 : 电机控制 数据采集 高速 D/A 输出 状态采集保护等 另外, 用户可以基于英创公司构建好的 USB 通讯结构, 利用

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 Website: Support:

DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 Website:  Support: DS-5 配合 DSTREAM 调试 Linux 和 Android 内核 ARM DS-5 文档版本 作者 V-0.1-20150124 parker 初审 再审 2 内容目录 1.设备...4 2.硬件接线...4 2.添加新芯片至 DS-5 调试设备列表...4 2.2.说明...4 2.3.步骤...5 2.3.1.创建 DS-5 Configuration Database...5 2.3.2.创建

More information

Microsoft PowerPoint - altera_traning_hw_lab_.ppt [相容模式]

Microsoft PowerPoint - altera_traning_hw_lab_.ppt [相容模式] Terasic Technologies Company Introduction Outline Outline DE1-SoC 快速入门 SoC FPGA 设计流程 DE1-SoC 硬件实验 DE1-SoC 软件实验 DE1-SoC 进阶应用 Demo DE1 SoC 快速入门 开发设计软件 Altera Quartus II Altera SoC Embedded Design Suite 实验教材文件

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG --------------------------------------------TABLE OF CONTENTS------------------------------------------

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Application Note AN01010101 V1.00 Date: 2011/08/02 类别 关键词 摘要 内容 EFM32 KEIL ARM MDK IAR J-Link 阐述 EFM32 开发环境的搭建步骤 北高智科技有限公司 修订历史 版本日期原因 V1.00 2011/08/02 创建文档 i 目录 1. 概述...1 2. 硬件平台...1 2.1 硬件开发平台...1 2.2

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

Microsoft Word - 11.doc

Microsoft Word - 11.doc 除 錯 技 巧 您 將 於 本 章 學 到 以 下 各 項 : 如 何 在 Visual C++ 2010 的 除 錯 工 具 控 制 下 執 行 程 式? 如 何 逐 步 地 執 行 程 式 的 敘 述? 如 何 監 看 或 改 變 程 式 中 的 變 數 值? 如 何 監 看 程 式 中 計 算 式 的 值? 何 謂 Call Stack? 何 謂 診 斷 器 (assertion)? 如 何

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

untitled

untitled MPICH anzhulin@sohu.com 1 MPICH for Microsoft Windows 1.1 MPICH for Microsoft Windows Windows NT4/2000/XP Professional Server Windows 95/98 TCP/IP MPICH MS VC++ 6.x MS VC++.NET Compaq Visual Fortran 6.x

More information

02

02 Thinking in C++: Volume One: Introduction to Standard C++, Second Edition & Volume Two: Practical Programming C++ C C++ C++ 3 3 C C class C++ C++ C++ C++ string vector 2.1 interpreter compiler 2.1.1 BASIC

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

Microsoft PowerPoint - STM32 tools Oct-2007.ppt

Microsoft PowerPoint - STM32 tools Oct-2007.ppt STM32 开发套件及仿真工具 2007/10/31 Shanghai 2007/10/31 2 国际性的开发套件所有套件均可从 ST 订购 STM3210B-SK/IAR $239 开发套件包含 JTAG 接口的仿真器 / 编程器和 STM32 评估板 可以用此套件评估 STM32 以及开始开发项目 ( 代码限制取决于编译器 ) 可以通过代理商从 ST 定购 STM3210B-SK/KEIL $189

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

ebook50-15

ebook50-15 15 82 C / C + + Developer Studio M F C C C + + 83 C / C + + M F C D L L D L L 84 M F C MFC DLL M F C 85 MFC DLL 15.1 82 C/C++ C C + + D L L M F C M F C 84 Developer Studio S t u d i o 292 C _ c p l u s

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww

更新历史 版本时间更新作者 Rev. A 初始创建 Dracula 微控电子乐微电子杭州市登云路 639 号 2B143 销售 TEL: 支持 TEL: FAX: ww 文档编号 _CH 文档版本 Rev. A 文档摘要 描述了使用 Trace32 来调试运行于 SDRAM 的 SAM9 代码的方法和过程 关键词 Trace32 SAM9 SDRAM 程序 创建日期 2010-01-19 创建人员 Dracula 审核人员 Hotislandn 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档, 转载请注明出处 更新历史 版本时间更新作者

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金

OTZR 年 12 月 13 日 2017 年 12 月 13 日 2 否 中国电信 不适用 中国移动 华能国际 EFZR 年 2 月 13 日 2018 年 2 月 13 日 1 否 盈富基金 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期 是否发生下档触发事件 挂钩标的 最初价格 * 最新价格 累积回报 EFZR36 2016 年 9 月 13 日 2017 年 9 月 13 日 3 否 盈富基金 24.85 26.00 不适用 H 股指数上市基金 102.40 106.90 OTZR95 2016 年 9 月 14

More information

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1 科 技 部 自 由 軟 體 專 案 原 始 程 式 碼 使 用 手 冊 Source Code Manual of NSC Open Source Project 可 信 賴 的 App 安 全 應 用 框 架 -App 應 用 服 務 可 移 轉 性 驗 證 Trusted App Framework -Transferability Verification on App MOST 102-2218-E-011-012

More information

自动化接口

自动化接口 基 于 文 件 的 数 据 交 换 的 注 意 事 项 1 SPI 2 COMOS Automation 操 作 手 册 通 用 Excel 导 入 3 通 过 OPC 客 户 端 的 过 程 可 视 化 4 SIMIT 5 GSD 6 05/2016 V 10.2 A5E37093378-AA 法 律 资 讯 警 告 提 示 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失,

More information

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0 SDChain-Matrix 节点搭建指南 目录 1 环境要求... 3 2 软件下载... 4 3 安装部署... 4 3.1 部署可执行程序目录... 4 3.2 部署配置文件目录... 4 3.3 部署数据库文件目录... 4 3.4 部署日志文件目录... 4 3.5 部署依赖库文件目录... 4 4 配置参数... 5 5 启动运行... 7 5.1 普通模式启动... 7 5.2 加载启动模式...

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

Software Boot and PL Configuration

Software Boot and PL Configuration 1 2014 Xilinx All Programmable 客户技术培训 1Software Boot and PL Configuration 2014.1 2 40363**slide Objectives After completing this module, you will be able to: Differentiate between program storage and execution

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

Data Server_new_.doc

Data Server_new_.doc 0i B/C Data Server Windows 2000 Window XP Windows XP FTP FANUC Data Server FTP liwei@beijing-fanuc 1 06-10-8 Content 1. /...3 1.1...3 1.2...3 1.3 CNC...3 2....5 2.1 STORAGE...5 2.2 FTP...6 2.3 BUFFER...7

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

Microsoft Word - Web Dynpro For ABAP跟踪测试工具简介 _2_.doc

Microsoft Word - Web Dynpro For ABAP跟踪测试工具简介 _2_.doc Web Dynpro For ABAP 跟 踪 测 试 工 具 简 介 概 述 从 传 统 ABAP UI 开 发 ( 如 Dynpro,ABAP List 等 等 ) 直 接 转 到 Web Dynpro For ABAP 开 发 来, 我 们 可 能 会 发 现 那 些 传 统 的 跟 踪 测 试 工 具 ( 如 SAT, 也 许 SAAB 还 是 一 个 简 单 易 用 的 合 适 的 工 具

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information